先进封装材料深度报告:先进封装材料国产替代加速

先进封装材料深度报告:先进封装材料国产替代加速
2024年01月20日 08:51 市场资讯

  炒股就看金麒麟分析师研报,权威,专业,及时,全面,助您挖掘潜力主题机会!

(报告出品方/作者:中信证券,李超(金麒麟分析师)、陈旺)

先进封装材料行业:先进封装产业链核心上游

封装是半导体制造过程中重要环节,占封测部分价值的 80~85%。半导体封装是半导 体制造工艺的后道工序,指将制作好的半导体器件放入具有支持、保护的塑料、陶瓷或金 属外壳中,并与外界驱动电路及其他电子元器件相连的过程。封装是实现芯片功能、保障 器件系统正常运行的关键环节之一,主要起到保护芯片、电气连接、机械连接和标准规格 化等作用。据 Gartner 的统计数据,封装环节的价值整个半导体封测部分的 80%~85%。

小型化、多引脚、高集成是封装技术的演进方向。针对下游电子产品小型化、轻量化、 高性能的需求,封装朝着小型化、多引脚、高集成的方向不断演进。封装技术的历史发展 大概分为五个阶段,目前全球封装行业处于以芯片级封装(Chip Scale Package,CSP)、 球栅阵列封装(Ball Grid Array,BGA)为主流技术的第三阶段,并逐步向以系统级封装 (System In Package,SiP)、倒装焊封装(Flip-Chip,FC)和凸点(Bumping)为代表 的第四、第五阶段迈进。传统封装以引线框架型封装为主,其形式主要包括双列直插封装 (Dual In-line Package,DIP)、小外形封装(Small Out-Line Package,SOP)、方型扁 平式封装(Quad Flat Package,QFP)、方形扁平无引脚封装(Quad Flat No-leads Package, QFN)等,主要位于前两个阶段。先进封装的形式主要包括 FC、WLP(晶圆级封装,Wafer Level Package)、2.5D/3D 和 SiP 封装等,位于芯片封装技术发展历程的第四、第五阶段, 芯片相对小、引脚数量多、高度集成化是先进封装的特色。根据有无封装基板,可以把先 进封装分为两大类,先进封装一般不采用引线框架和键合丝进行封装。

Bumping、RDL、Wafer 和 TSV 是先进封装的四要素,具备其中一种即为先进封装。 1)Bumping(凸块)技术,普遍应用于 FC 封装中,通过焊球起到晶圆之间、晶圆与封装 基板之间电气互联和应力缓冲的作用,随着 Bumping 技术的发展,金属凸点越来越小,逐 步发展为混合键合(Hybrid Bonding)技术,该技术制造的电介质表面较光滑、无凸点, 且集成密度更高;2)重布线层(Re-Distribution Layer,RDL)技术,起到 XY 平面上电 气互联和延伸的作用,广泛应用于 WLP 和 2.5D/3D 封装中,不适用于 FC 封装;3)晶圆 (Wafer)技术,起到芯片基底和 WLP 封装载体的作用,也可与硅基板共同实现 2.5D 集 成,随着 Wafer 技术的发展,Wafer 面积逐渐增大;4)硅通孔(Through Silicon Via,TSV) 技术,起到 Z 轴方向电气互联的作用,在实现多维立体结构封装中极为重要。

先进封装下游应用领域广泛。在国际半导体龙头厂商的研发下,目前主流的先进封装 技术维度逐渐从 2D 提升至 2.5D 和 3D,同时系统的功能密度也得到提升,在手机、5G、 AI、可穿戴设备、高端服务器和高性能计算等领域得到了广泛应用,产品的价值量和技术 壁垒相比于传统封装更高。

先进封装材料是先进封装产业链核心上游。先进封装技术的发展离不开封装材料的支 撑,先进封装材料是先进封装产业链的核心上游,包括生产封装基板的兴森科技、崇达技 术、深南电路等厂商,生产包封材料的华海诚科凯华材料等厂商,以及生产芯片粘结材 料等其他材料的联瑞新材等厂商。下游客户主要是长电科技通富微电华天科技等封测 厂商。

先进封装材料市场结构以封装基板和包封材料为主。半导体封装材料可以细分为封装 基板、引线框架、键合丝、包封材料、陶瓷封装材料、芯片粘结材料和其他封装材料。据 SEMI 统计,传统的封装材料市场结构中封装基板占比最高,为 40%,其次为引线框架和 键合线,占比均为 15%,包封材料、陶瓷封装材料、芯片粘接材料和其他材料占比分别为 13.0%、11.0%、4.0%和 2.0%。先进封装一般不采用引线框架和引线键合的方式进行封装, 因而对引线框架和键合丝的需求较小,以封装基板和包封材料为主。

除封装基板和包封材料外,区别于传统封装,先进封装过程中还需要用到的材料有: 1)底部填充料(Underfill):FC 封装的关键材料,主要用于芯片与基板的连接,分 散芯片表面承载应力,缓解芯片、焊料和基板三者热膨胀系数不匹配产生的内应力,保护 焊球、提高芯片抗跌落与热循环可靠性等,产品需要具有很好的流动性、高可靠性、低热 膨胀系数,对产品的配方及工艺要求极高。以环氧树脂为主,添加球型硅微粉、固化剂等 进行填充。2)聚酰亚胺:在 WLP 封装过程中,RDL 和晶圆表面的钝化层中介质通常需要光敏 绝缘材料来制造,传统聚酰亚胺(Polyimide,PI)需要配合光刻胶使用,采用 PSPI 工艺 流程可大幅简化,主流应用为光敏聚酰亚胺(Photo Sensitive Polyimide,PSPI)。 3)光刻胶:应用场景与 PSPI 相似,主要在光刻工艺中使用,除 RDL 外,在封装基 板、中介转接板(Interposer)、TSV、Bumping 中也有应用,与晶圆制造过程中使用的光 刻胶不同,封装用光刻胶分辨率一般仅要求为微米级的厚胶、紫外光光源、436nm 的 g 线 与 365nm 的 i 线。

4)抛光液和抛光垫:先进封装工艺流程中,化学机械抛光(Chemical Mechanical Polishing,CMP)是 TSV 工艺中的关键流程,用到的主要材料为抛光液和抛光垫。TSV 工艺中抛光液主要分为两大类:正面铜/阻挡层的抛光液和晶圆背面的抛光液。 5)靶材:先进封装工艺流程中,靶材主要用于 Bumping 工艺中凸点下金属层(Under Bumping Metal,UBM)及 TSV 工艺中电镀种子层的溅射,由于无法直接在绝缘体或硅材 料上进行电镀,需要先进行种子层的溅射,一般种子层材料与电镀材料均为铜。由于铜和 二氧化硅绝缘层两者之间粘附性较差,一般先沉积扩散阻挡层,采用钛及钛合金或钽及钽 合金材料。 6)湿电子化学品:先进封装工艺中主要采用光刻胶剥离液作为晶圆清洗材料,在光 将光刻胶剥离。此外,在 TSV、Bumping 等工艺流程中还对显影液、蚀刻液、清洗液等湿 电子化学品需求量较大。

除封装基板和包封材料外,传统封装和先进封装过程中均需要用到的材料有: 1)芯片粘接材料(Die Attach):用于粘接芯片与基板的封装材料,在先进封装工艺 中主要在芯片堆叠、多芯片粘接和 FC 芯片粘接等工艺中,芯片堆叠工艺中导电胶使用较 多,20μm 以下的芯片厚度情况下,一般使用 DAF 膜(Die Attach Film)粘接。DAF 膜 根据解胶方式的不同又有 Non-UV 膜(通常称之为蓝膜)和 UV 膜之分。 2)电镀液:目前传统封装中,电镀是主流金属化工艺之一。在先进封装工艺中,电 镀主要用于 Bumping、RDL 和 TSV 工艺中。TSV 工艺可采用电镀和 CVD 两种填充方式, 由于先进封装孔径一般在 5μm 以上,因此适合大直径孔径的电镀是主流的TSV填充工艺。 TSV 工艺中采用的电镀材料主要是铜,Bumping 过程中电镀材料主要是铜和锡银。

技术演进、终端应用和客户布局驱动下,先进封装材 料需求持续增长

技术演进驱动:后摩尔定律时代,先进封装是超越摩尔定律的关键

芯片工艺特征尺寸逼近物理极限,摩尔定律渐近失效。随着全球的芯片制程工艺进入 到 3~5nm 区间后,芯片的特征尺寸逼近物理极限,延续摩尔定律所需新技术研发难度提 升、研发周期变长,先进制程芯片的设计难度增大、工艺复杂度提升、研发成本大幅增加, 摩尔定律渐近失效。据 IBS 估算,每 10 亿颗晶体管的成本,16nm 到 10nm 下降了 30.7%, 7nm 到 5nm 下降了 17.8%,而从 5nm 到 3nm 仅下降了 4.2%。

先进封装成为超越摩尔定律,提升芯片性能、降低成本的关键技术之一。根据 IRDS 发布的国际半导体技术发展路线图,半导体行业的发展主要分为两大方向:一类是以制程 线宽不断缩小为特征的深度摩尔定律方向(More Moore),其核心是在一个芯片上拥有更 多的功能。另一类是以应用功能多样化为特征的超越摩尔定律方向(More than Moore)。 不再单纯依靠缩小晶体管尺寸和硅片尺寸的放大,而是通过 2.5D/3D、SiP 等先进封装技 术集成更多数量的晶体管等方式综合以提升性能。同时,根据应用场景来实现芯片功能的 多样化,满足互联网、物联网、生物医药、新能源等各新兴领域的发展应用需求,重点挖 掘和研发模拟器件、功率半导体、传感器等市场规模巨大的芯片。由于集成电路制程工艺 短期内难以突破,通过先进封装技术提升芯片整体性能成为了集成电路行业技术发展趋势。

终端应用驱动:人工智能时代算力需求高增,HBM 需求驱动先进封装材料 快速增长

ChatGPT 揭开人工智能时代序幕,算力和 AI 服务器需求高增推动 HBM 市场规模快 速增长。2022 年 11 月 30 日,OpenAI 发布人工智能聊天机器人 ChatGPT,推出不久便 在 2023 年 1 月末月活用户突破 1 亿,成为史上增长最快的消费者应用,拉开了“人工智 能时代”的序幕,人工智能从技术升级向商业化落地演进。AI 技术的底层是数据和算力, 当前人工智能爆发背景下,算力需求高速增长。IDC 预测,到 2026 年中国大陆智能算力 规模将达到 1271.4 百亿亿次浮点运算/秒,对应 2022-2026 年化复合增长率达 47.58%。 同时,以 AI 服务器产业链为代表的硬件产品将充分受益于人工智能发展的浪潮。 TrendForce 预计,在 AI+应用广泛落地的刺激下,AI 服务器 2023 年出货量将同比增长 38.4%,对应 2022-2026 年 AI 服务器的年化复合增长率将达 22%。TrendForce 还统计, 目前高端 AI 服务器 GPU 搭载 HBM(High Bandwidth Memory)已成主流,且预估 2023 年全球 HBM 需求容量将达 2.9 亿 GB,同比增长近 60%。TrendForce 预计,2023 年 HBM 市场规模将达 31.6 亿美元,到 2025 年市场规模有望突破 100 亿美元。

HBM 采用先进封装技术,需求高增预计将推动先进封装材料行业快速增长。HBM 即 高带宽存储器,HBM 技术之下,DRAM 芯片从 2D 转变为 3D,可以在很小的物理空间里 实现高容量、高带宽、低延时与低功耗,因而 HBM 被业界视为新一代内存解决方案。通 过使用先进的封装方法(如 TSV 硅通孔技术)垂直堆叠多个 DRAM,在高性能计算应用 对内存速率提出了更高的要求的背景下,使用先进封装工艺的 HBM 很好地解决了传统 DRAM 的内存速率瓶颈的问题。人工智能时代在算力需求高速增长驱动下,预计将推动先 进封装产业链核心上游的先进封装材料行业快速增长。

客户布局驱动:前后道头部厂家积极布局先进封装

先进封装的巨大市场吸引了前道晶圆厂商向下游延伸,积极布局先进封装市场。SiP 现有商业模式下产业链分工较为明显,但存在潜在 OSAT SiP 和晶圆厂 SiP 模式。这两种 模式形成有两方面原因:1)集成不同的功能模块是 SiP 技术的核心特征,一定程度上构 成了对传统模组组装技术的替代,因此现有的 OSAT 厂商通过 SiP 能够向后延伸发展基板 和 EMS 业务;2)SiP 的实现需以先进封装技术为基础,部分先进封装技术本身对于前道 工艺也有一定要求,Yole 认为晶圆厂也有望向后延伸发展 OSAT 和基材业务的可能。先进 封装前后道工艺相互渗透融合,具有较高技术壁垒和技术积累的厂商会向上下游工序延伸。

下游晶圆厂商和封测厂商增大先进封装领域资本开支,驱动上游先进封装材料增长。 根据 Yole 统计,2022 年先进封装收入前 10 名厂商中,除传统的封测厂商日月光、安靠、 长电科技、通富微电等之外,英特尔、台积电和三星分别以 55 亿美元、53 亿美元和 40 亿美元的营收位列第 3、4、6 名。同时,英特尔、台积电和三星积极加大布局先进封装领 域,2022 年在封装领域的资本支出分别为 40 亿美元、36 亿美元和 20 亿美元,位列前三 名。传统封测厂商日月光、安靠、长电科技、通富微电等也在 2022 年纷纷增加了在封装 领域的资本开支。据 Yole 统计,头部的 9 大厂商在封装领域的资本开支从 2021 年的 134 亿美元增加到了 2022 年的 145 亿美元,同比增长 8.21%。随着晶圆厂商和封测厂商逐步 增加在先进封装领域的资本开支,有望带动上游先进封装材料需求的增长。

先进封装是全球封装市场主要增量,驱动上游先进封装材料需求快速增长

先进封装市场将成为封装市场主要增量,Yole 预计到 2028 年先进封装市场规模将达 到 786 亿美元,占全球封装市场的 57.8%。Yole 统计,2022 年全球封装市场规模为 950 亿美元,其中先进封装市场规模为 443亿美元,同比增长 18.1%,占全球封装市场的 46.6%; 且预计到 2028 年,全球封装市场规模将达到 1361 亿美元,其中先进封装市场规模为 786 亿美元,占全球封装市场的 57.8%,对应 2022-2028 年 CAGR 为 10.0%,显著高于传统 封装市场的 CAGR2.1%,将替代传统封装占据市场主导地位,为全球封装市场贡献主要增 量。

2.5D/3D 封装市场增长速度最快,Yole 预计其在先进封装市场的份额将从 2022 年的 20.8%增长至 2028 年的 32.8%。目前市场上主要的先进封装方法为 FC、2.5D/3D、SiP、 WLCSP 和 FO 封装等。Yole 统计,2022 年 FC 封装市场规模为 225 亿美元,占据了先进 封装市场 50.8%的市场份额,其次是 2.5D/3D 封装和 SiP 封装,市场规模为 92 亿美元和 86 亿美元,先进封装市场份额占比为 20.8%和 19.4%,WLCSP 封装和 FO 封装的先进封 装市场份额占比分别为 5.0%和 3.6%。Yole 预计,2022-2028 年期间,2.5D/3D 封装的增 长速度将最快,对应 CAGR 达 18.8%,预计 2028 年市场规模将达到 258 亿美元,先进封 装市场份额占比增长至 32.8%,FC 封装的 CAGR 为 8.5%,预计 2028 年市场规模为 367 亿美元,先进封装市场份额占比为 46.7%。

中国大陆先进封装占比逐步提升,市场规模增速显著高于全球。随着全球半导体产业 链向国内转移,传统封测产业已成为中国半导体的强势产业,市场规模持续向上突破。JW Insights 统计,2022 年中国大陆封测产业规模小幅增长,达到 2995 亿元,且预计 2026 年中国大陆封测市场规模将达到 3248.4 亿元,对应 CAGR 为 2.05%。2020 年中国大陆 先进封装市场份额占比为 36%。随着国内封测厂商逐步向 SiP、WLP 等先进封装技术转 换,先进封装市场需求维持了较高速度的增长。JW Insights 预计,2023 年中国先进封装 产值将达到 1330 亿元,约占总封装市场的 39%,相比于全球 2022 年 46.6%的先进封装 市场占比还有较大的提升空间。根据 Frost & Sullivan 预测(转引自集微网),2021-2025 年,中国先进封装市场规模 CAGR 达到 29.9%,为全球增速的 2.99 倍。

封装基板是封装环节关键载体,在先进封装总材料成本中占 70~80%。封装基板,即 IC 载板,是封装材料中价值量最大的材料。据 SEMI 统计,传统的引线键合类封装中,封 装基板占总材料成本的 40~50%,在先进封装中材料成本占比更高,以占据先进封装市场 份额一半的 FC 封装为例,其封装基板成本占比在 70~80%之间。

受半导体行业快速发展的影响,封装基板市场规模呈现逐年上升的态势。亿渡数据统 计,2021 年全球封装基板市场规模约为 100.83 亿美元,预计到 2026 年将增长至 155.17 亿美元,对应 2021-2026 年期间 CAGR 为 9%;中国大陆方面,2021 年封装基板市场规 模约为 390.06 亿元,预计到 2026 年将增长至 420.10 亿元,2021-2026 年期间 CAGR 为 1.5%。

受先进封装技术发展驱动,带动先进封装材料 ABF 载板快速增长。根据不同基材类 别,可以把 IC 载板分为硬质载板、柔性载板和陶瓷载板三大类。据立鼎产业研究院统计, 目前硬质载板中的 BT 载板和 ABF 载板占据了市场超过 70%的份额,是主流选择。其中, BT 载板主要应用于手机 MEMS、通信、内存和 LED 等领域,ABF 载板层数较多、面积较 大、线路较细、导电性能高,主要应用于 CPU、GPU、FPGA、ASIC 等高性能运算芯片 中。在 2.5D/3D 封装、FC 封装等先进封装技术中,采用的主要是 ABF 载板,随着先进封 装替代传统封装技术成为封装市场的主要增量,给上游先进封装材料 ABF 载板带来了更大 的增长动能。

ABF 载板终端应用中 PC 出货量占比最大,IDC 预计 2023 年 PC 出货量同比下降 13.7%。在 ABF 载板的下游终端应用方面,PC 占据主导地位,占比为 47%。IDC 统计, 2020-2021 年,受远程办公、线上教育等需求驱动,PC 出货量呈上升趋势,2022 年受全 球宏观经济因素影响,PC 出货量为 2.92 亿台,同比下降 16.3%。IDC 认为当前 PC 行业 依然面临诸多挑战,包括消费市场更新周期拉长、企业降低采购预算、很多市场的教育支 出尚未反弹等,预计 2023 年出货量为 2.52 亿台,同比下降 13.7%,2024 年 PC 出货量 有望企稳回升至 2.61 亿台。

AI 服务器、高算力 AI 芯片需求高增,推动 ABF 载板需求快速增长。上文提到, TrendForce 统计,在 AI+应用广泛落地的刺激下,AI 服务器 2022 年出货量为 85.5 万台, 且预计 2022-2026 年 AI 服务器的年化复合增长率将达 22%,2026 年出货量将达 236.9 万台。IDC 统计,2022 年全球 AI 服务器市场规模为 183 亿美元,IDC 预计 2026 年全球 AI 服务器市场规模将达到 347 亿美元,对应 CAGR 为 17.35%。AI 芯片方面,人工智能 商业化应用落地推动 AI 芯片市场高速增长,华经产业研究院预计,2025 年全球 AI 芯片市 场规模将达到 726 亿美元,对应 2020-2025 年 CAGR 为 29.27%;2023 年,AI 服务器+ 交换机和 AI 芯片在 ABF 载板终端需求应用领域所占比例分别达到 25%和 10%,合计占比 35%,是 ABF 载板需求快速增长的关键驱动力

5G 基站建设驱动 FPGA 使用量的增加,推动 ABF 载板需求上升。5G 基站在 ABF 载板终端需求应用领域占比为 7%,是其核心零部件 FPGA 的关键原材料。Maximize Market Research 预计,全球 5G 基站市场规模将从 2021 年的 111.9 亿美元增长到 2029 年的 140.61 亿美元,对应 CAGR 为 37.2%。中国处于全球 5G 市场前沿,是全球 5G 发 展的“火车头”。Frost&Sullivan 预计(转引自华经产业研究院),中国 5G 专网市场规模 将从 2021年的 60亿元增长至 2026年的 2361亿元,对应 2021-2026年 CAGR为 108.44%。 随着全球 5G 网络的部署持续推进,5G 基站的 FPGA 用量显著提升,进一步推动 ABF 载 板需求量的上升。

我们预计 2025 年全球和中国大陆 ABF 载板市场规模分别为 70.34 亿美元和 23.95 亿美元,中国大陆 ABF 载板市场规模增速显著高于全球。华经产业研究院统计,2019 年 全球 ABF 载板平均月需求为 1.85 亿颗,预计 2023 年将达到 3.45 亿颗,2019-2023 年期 间 ABF 载板需求量保持快速增长,对应 CAGR 为 16.86%。QYResearch 统计,2021 年 全球 ABF 载板市场规模为 43.68 亿美元,中国大陆 ABF 载板市场规模为 6.64 亿美元。参 考上文 ABF 载板下游各需求端增速(其他部分我们假设与全球先进封装市场规模增速一 致),我们预测 2021-2025 年全球 ABF 载板市场规模增速为 12.65%。参考中国与全球先 进封装市场规模增速的比例关系,假设中国 ABF 载板市场规模增速为全球的 2.99 倍,即 37.82%。基于上述分析,我们预计到 2025 年,全球和中国大陆 ABF 载板市场规模分别 为 70.34 亿美元和 23.95 亿美元,中国大陆 ABF 载板市场规模增速显著高于全球。

2015-2022 年期间中国大陆包封材料市场规模增速远高于同期全球包封材料市场规 模增速,市场规模保持增长趋势。封装材料中包封材料占比约为 13%,是先进封装材料中 的一种重要材料。根据 SEMI 统计,全球包封材料市场规模 2015-2021 年期间从 25.9 亿 美元增至 27.2 亿美元,且预计 2022 年为 29.7 亿美元,同比增长 9.19%,2015-2022 年期间 CAGR 为 1.98%。根据中国半导体行业协会发布的《中国半导体支撑业发展状况报 告》,中国大陆包封材料市场规模从 2015年的 48.5亿元增长至 2022年的 77.2亿元,CAGR 为 6.87%,远高于同期全球包封材料市场规模增速。

半导体包封材料中环氧塑封料占 90%左右,我们测算 2022 全球和中国大陆环氧塑封 料的市场规模分别为 26.73 亿美元和 69.48 亿元。包封材料主要包括环氧塑封料、金属类 与陶瓷类包封材料等。虽然金属封装材料、陶瓷封装材料出现的时间较早,抗干扰能力更 强,稳定性更高,但由于成本高、生产工艺复杂,难以有效满足大规模生产的需求,其未 来应用趋势料将主要集中在航空航天等特殊领域。环氧塑封料凭借相对较低的成本、较简 单的工艺以及优异的可塑性,在半导体封装材料中用量最大,与半导体小型化、复杂化、 高度集成化的发展趋势相适应,符合下游封装厂商降本提效双重需求,受益于先进封装快 速增长带来的增量需求,环氧塑封料市场需求不断增长,预计未来仍将为半导体封装材料 的主流。根据华海诚科招股说明书,半导体包封材料中环氧塑封料占据 90%左右的市场。 据此我们测算,2022 年全球和中国大陆环氧塑封料的市场规模分别为 26.73 亿美元和 69.48 亿元。

我们测算 2021 年先进封装用环氧塑封料市场规模为 6.6 亿元。鉴于环氧塑封料市场 是半导体封装材料的一个细分市场,目前并无按照传统封装和先进封装材料作为划分标准 的市场公开数据。我们基于国内传统及先进封装市场规模、业内具有代表性的上市公司客户对环氧塑封料的采购情况,测算传统封装用及先进封装用环氧塑封料的国内市场规模比 例,并得出相应市场规模。根据 Frost&Sullivan 数据(转引自华海诚科招股说明书),2020 年国内封装市场规模为 2509.50 亿元,其中传统封装市场规模为 2158.20 亿元,先进封装 市场规模为 351.30 亿元。以上述封装市场规模为基础,在充分考虑相关财务数据的可获 取性与代表性后,我们选取气派科技作为国内传统封装市场的代表厂商、甬矽电子则作为 国内先进封装代表厂商,并以上述两家公司 2019 年与 2020 年相关数据的平均值对环氧塑 封料市场占比情况进行测算。据此我们测算,我国 2020 年先进封装用环氧塑封料市场规 模为 3.59 亿元;根据华经产业研究院统计,2021 中国先进封装市场规模同比增速为 13.7%, 需求快速增长,假设环氧塑封料市场占比分别为 10%,则我们测算 2021 先进封装用环氧 塑封料市场规模为 6.6 亿元。

预计 2025 年中国和全球先进封装用环氧塑封料市场规模分别为 18.79 亿元和 26.68 亿元。假设先进封装用环氧塑封料市场规模增速与先进封装市场规模增速一致,中国和全 球先进封装用环氧塑封料市场规模比例与环氧塑封料市场规模比例一致,即 2021 年中国 和全球先进封装用环氧塑封料市场规模分别为 6.60 亿元和 18.22 亿元,对应 2021-2025 年中国和全球先进封装用环氧塑封料市场规模 CAGR 分别为 29.9%和 10.00%,我们预计 2025 年中国和全球先进封装用环氧塑封料市场规模分别为 18.79 亿元和 26.68 亿元。

在以下假设条件下: 1)根据中国人民银行授权中国外汇交易中心公布,2023 年 11 月 14 日银行间外汇市 场人民币汇率中间价为 1 美元对人民币 7.1768 元,我们采用该汇率统一换算成人民币; 2)假设先进封装用底部填充料、聚酰亚胺、光刻胶、抛光液、抛光垫、靶材、湿电 子 化 学 品 、 芯 片 粘 接 材 料 、 电 镀 液 2021-2025 年期间 CAGR 分别为 13.89%/6.50%/6.51%/11.49%/11.70%/10.58%/6.54%/9.79%/13.00%,且在 2021-2025 年期间按照假设的 CAGR 持续增长; 3)在其他材料测算中,各细分材料中国大陆市场规模占比采用 2022 年中国大陆先进 封装市场规模的全球占比,即 35.80%(中国大陆先进封装市场规模/全球先进封装市场规 模=(2995×38%)/(443×7.1768)=35.80%)。全球市场规模 CAGR 和中国大陆市场 规模 CAGR 之间的比例与先进封装保持一致,即中国大陆市场规模 CAGR 为全球市场规 模 CAGR 的 2.99 倍。 综上,我们预计 2023-2025 年全球先进封装材料市场空间为 686/765/854 亿元,对应 CAGR 为 11.60%,中国大陆先进封装材料市场空间为 235/314/420 亿元,对应 CAGR 为 33.42%,中国大陆先进封装材料行业增速高于全球。

外资厂商占据主导地位,国产替代空间广阔

ABF 载板:供不应求且市场集中度高,头部厂商积极扩产ABF 载板

ABF 载板供不应求,市场集中度高。华经产业研究院统计,2019 年全球 ABF 载板平 均月产能 1.67 亿颗,供给缺口 1800 万颗,且预计 2023 年月产能将达到 3.31 亿颗,对应 2019-2023年平均月产能CAGR为18.65%,高于平均月需求量增速,供给缺口减小为1400 万颗,但仍然处于供不应求的状态。全球 ABF 载板供给市场主要被中国台湾、日本和韩国 厂商所垄断。据 Prismark 估计,2022 年兴森科技、深南电路、珠海越亚和安捷利美维四 大中国大陆基板厂商市占率仅为 6%左右。中国大陆厂商仍然以 BT 载板为主,在 ABF 载 板等高端产品市场上国产化率极低。

导致 ABF 载板供不应求的主要原因有以下四点: 1)关键原材料被垄断且扩产节奏慢。ABF 载板上游的关键原材料为 ABF 树脂,目前 主要由日本味之素垄断,垄断局面预计短期内不会改善。据天和防务公司公告,预计味之 素 2021-2025 年 ABF 树脂出货量的复合增速约为 16.08%,低于 ABF 载板需求量增速 16.86%。

2)ABF 载板技术壁垒高。华经产业研究院统计,ABF 载板层数在 14-20 层之间,面 积为 70mmx70mm,最小线宽/线距落入 6-7 微米范围,且预计 2025 年正式进入 5 微米竞 争,技术门槛远高于 HDI、普通 PCB 和普通封装基板。

3)产线前期资本投入大,回本周期长。载板核心生产设备主要由日本和韩国厂商供 给,价格昂贵,且交付周期较长,为 1.5-2 年。据胜宏科技公司公告,每 1 万平方米/月产 能的载板产线,前期资本投入或超 10 亿。

4)客户认证周期长且更换困难。IC 载板与芯片直接相连,对于产品的稳定性具有重 要意义,认证过程需要建立适应客户要求的高效运营体系,客户认证周期较长,且下游客 户一旦认证,不会轻易更换供应商。

国内外头部厂商积极扩产,大陆厂商加大投资加速国产替代。针对 ABF 载板供不应 求的问题,海外龙头厂商揖斐电、三星电机等纷纷投入大量资金扩大 ABF 载板的生产。1) 国内兴森科技 2022 年 2 月公告投资 60 亿元建立广州 FC-BGA 封装基板生产基地,月产 能 2000 万颗,公司预计一期 2023Q4 开始试产、2025 年达产,二期 2027 年达产。6 月公告投资 12 亿元建立珠海基地,月产能 200 万颗,目前处于客户认证阶段,部分大客户 的技术评级、体系认证均已通过,等待产品认证结束之后进入小批量生产阶段。2)深南 电路 21 年 6 月公告投资 60 亿元建设广州封装基板生产基地,目标产能 2 亿颗 FC-BGA、 300 万片 RF/FC-CSP 等有机封装基板,项目共分两期建设,其中项目一期于 2023 年 10 月下旬试产。2021 年 8 月公司公告投资 20 亿用于高阶倒装芯片用 IC 载板产品制造项目, 二期于 2022 年 9 月下旬连线投产并进入产能爬坡阶段,目前产能利用率达到四成。3)珠 海越亚投资 35 亿元,在珠海富山工业园内建设三厂,扩建高端射频及 FC-BGA 封装载板 生产制造项目。目标产能 Via Post 铜柱法载板每月 12 万片,嵌埋封装载板每月 2 万片, FC-BGA 封装载板每月 6 万片。4)此外,礼鼎、科睿斯、华进等国内企业也纷纷布局 ABF 载板领域。国内厂商积极扩增 ABF 载板的产能建设资本支出,有望加速 ABF 载板的国产 替代进程。

国内厂商积极布局 ABF 载板核心原材料,有望打破味之素垄断地位实现国产替代。 除了 ABF 载板外,国内厂商积极布局 ABF 载板上游核心原材料领域。华正新材 2022 年 7 月 20 日发布公告设立合资公司,布局适用于 Chiplet、FC-BGA 等先进封装工艺的 CBF 积层绝缘膜,截至 2023 年上半年,已形成系列产品,并在重要终端客户及下游客户中开 展验证。2023 年 6 月 26 日,宏昌电子发布公告,全资子公司珠海宏昌与净化科技合作开 发应用于 FC-BGA 及 FC-CSP 先进封装载板的增层膜新材料产品。此外,天和防务预计 自主研发的类 ABF 膜产品——“秦膜”将于 2023 年下半年完成大客户的验证工作,有望 打破味之素的垄断地位,实现 ABF 膜产品的国产替代。

环氧塑封料:外资厂商主导高端市场,先进封装国产替代空间广阔

外资厂商主导高端市场,国产替代潜力大。在环氧塑封料领域,根据华海诚科招股说 明书,目前国产环氧塑封料(包含台资厂商)市场占比约为 30%左右。智研咨询统计,目前国内环氧塑封料生产企业(包含台资厂商)年产能超过 14 万吨,产能约为全球产能的 35%,现已成为世界上最大的环氧塑封材料以及封装填料生产基地。高端环氧塑封料产品基 本被日本品牌如住友、蔼司蒂、京瓷等垄断。国产批量供货集中于中低端封装材料,先进 封装产品成熟度仍较低,随着先进封装需求的不断增加,国产替代空间广阔。

国内市场集中度高,呈现头部效应。环氧塑封料对半导体器件的性能有显著影响,进 而影响到终端产品的品质,是半导体产业的支撑材料。鉴于环氧塑封料的关键性,芯片设 计公司会选用具有较长供应历史、优良市场口碑、相关产品已经过市场验证的供应商。因 此,该领域进入门槛较高,国内市场的竞争格局集中,呈现出头部化效应。其中,内资厂 商市场份额主要由华海诚科、衡所华威、长春塑封料、北京科化、长兴电子所占据。在上 述内资厂商中,除华海诚科外均为非上市公司。目前华海诚科在环氧塑封料领域属于第一 梯队,产品布局完善,技术储备已覆盖传统封装与先进封装两大领域,与长电科技、华天 科技、通富微电等国内主流封装厂商已建立了长期良好的合作关系。

其他材料:外资厂商主导,国内公司积极布局

外资厂商占据垄断或主导地位,国产替代空间广阔。其他封装材料中,先进封装工艺 用的底部填充料和聚酰亚胺国产化率几乎为 0,日本、美国、欧洲等海外厂商占据垄断地 位。光刻胶、抛光液和抛光垫、靶材等先进封装材料以及芯片粘接材料、电镀液等封装材 料则由海外厂商占据主导地位,国内部分厂商仍处于积极布局状态,具有广阔的国产替代 空间。

重点公司分析

华海诚科:环氧塑封料龙头企业,传统封装+先进封装齐头并进

华海诚科是环氧塑封料龙头企业。华海诚科是一家专注于半导体封装材料的研发及产 业化的国家级专精特新“小巨人”企业,主要产品为环氧塑封料和电子胶黏剂,其中环氧 塑封料的营收占比在 90%以上,产品广泛应用于半导体封装、板级组装等应用场景。其中, 环氧塑封料、芯片级电子胶黏剂与半导体封装技术的发展息息相关,是保证芯片功能稳定 实现的关键材料,极大地影响了半导体器件的质量。终端消费场景丰富,被广泛应用至消费电子、光伏、汽车电子、工业应用、物联网等领域,其中消费电子是公司产品最主要的 终端应用领域。

营收和归母净利润稳步增长。2019-2021 年,公司业务规模持续扩大,有序实现了研 发技术的产业化,推动了经营业绩的快速提升,营业收入 CAGR 达到了 42.04%,归母净 利润从 409 万增长至 4760 万,CAGR 达到了 241.15%。2022 年以来,受新冠疫情反复、 地缘政治冲突、全球通货膨胀等因素影响,消费者购买非必需品的意愿普遍下降,消费电 子行业发展放缓,从而导致公司销售收入有所下滑,归母净利润同比下滑 13.38%。我们 预计未来随着消费电子的复苏、AI 存储和算力需求的增长,将带动上游包封材料的需求, 拉动公司业绩向好发展。

阶梯式布局环氧塑封料,从基础封装到先进封装产品齐全。根据下游封装技术、应用 场景以及性能特征的不同,公司将环氧塑封料分为基础类、高性能类、先进封装类以及其 他应用类。其中,基础类产品主要应用于 TO、DIP 等传统封装形式,被广泛应用于消费 电子、家用电器等领域;高性能类产品主要应用于 SOD、SOT、SOP 等封装形式,通常 具有超低应力高粘结力、高电性能或高可靠性等性能特征,终端应用主要包括消费电子、 汽车电子、新能源等领域。先进封装类产品主要应用于 BGA、SiP、FOWLP 等封装形式, 终端应用包括基站、汽车电子、新能源等领域。 高性能类环氧塑封料逐步打破了外资厂商的垄断地位。根据华海诚科招股说明书, 2015 年前,中国大陆的高性能类产品市场由外资厂商垄断,内资厂商的市场占有率接近 为 0;2015 年至 2018 年,以华海诚科为代表的内资领先厂商的部分高性能类产品开始逐 步应用于业内主要封装厂商,内资厂商的市场份额增长至 5%左右;2019 年至今,内资领 先厂商的替代示范效应显著,对外资产品的替代进程持续加速,在高性能类产品领域的市 场份额逐步增长至 10%-15%左右。

华海诚科是中国大陆高性能类产品进口替代的引领者,相关产品性能处于国内同行业 领先水平。公司 EMG-600-2、EMG-400-1F/2F、EMG-400-C、EMG/EMS-480-1 等具有 代表性的高性能类产品,产品性能已达到了外资同类产品先进水平,并在内资同类产品中 处于领先地位,填补了内资厂商在上述类型产品的市场空白,在内资厂商中具备显著领先 地位,且对外资同类产品的替代进程持续加速。2019 年至 2021 年,公司高性能类产品对 外资同类产品的替代进程持续加速,销售规模由 7617 万元增长至 1.75 亿元,2020 年-2022 年的收入占比均超过了 50%,且收入占比持续提升,年复合增长率达到了 51.42%。

公司应用于先进封装的产品已在客户验证中取得一系列突破。公司以先进封装的技术 特征与客户日益提升的性能需求为导向,在应用于 QFN/BGA、FOWLP/FOPLP、SiP 的 塑封料领域实现了具有创新性与前瞻性的技术与产品布局,掌握了翘曲控制技术、高导热 技术等用于先进封装领域的核心技术,相关产品已逐步通过客户的考核验证,体现了公司 技术先进性。未来有望逐步实现先进封装材料的产业化,打破外资厂商在先进封装包封材 料领域的垄断地位,为公司业绩的持续增长注入新的动能。

联瑞新材:高端环氧塑封料核心原材料球形硅微粉龙头

国内球形硅微粉龙头,全面布局新兴功能性陶瓷填料。公司是国内规模领先的粉体填料生产高新技术企业,其主要产品结晶、熔融、球形硅微粉以及球形氧化铝粉等,赢得了 国内外领先客户认可,如三星、KCC、住友、松下、昭和电工、莱尔德、瓦克、派克、飞 荣达等。公司 2021 年度球形硅微粉产能突破 2.2 万吨,当前已发展成为国内球形硅微粉 第一大生产商。公司纵向深度开发基于用户持续需求的硅基/铝基氧化物粉体填料,横向开 发氮化铝、氮化硅、液态填料等多种陶瓷填料,全面打造功能性陶瓷填料平台。下游应用 包括电子电路基板、芯片封装材料等领域,终端服务于 5G 装备、消费电子、汽车工业、 航空航天、特高压传输等行业。

营收持续增长,2023Q1-Q3 盈利小幅下降。公司营收从 2018 年的 2.78 亿增长至 2022 年的 6.62 亿,CAGR 为 24.21%,呈现持续增长态势。2023 年 Q1-Q3,公司营业收入同 比增长 4.72%。利润端,2018-2022 年公司归母净利润 CAGR 为 34.01%。2023 年 Q1-Q3, 半导体行业处于下行周期,全球终端市场需求疲软,公司归母净利润同比小幅下降。先进 封装市场的增长将为球形硅微粉带来需求增量,我们预计未来随着先进封装市场规模的迅 速扩张,有望进一步带动公司业绩增长。 产品应用领域丰富,覆铜板+环氧塑封料助力业绩增长。公司主要产品为结晶硅微粉、 熔融硅微粉和球形硅微粉等,广泛应用于覆铜板、环氧塑封料、电工绝缘材料、胶粘剂、 陶瓷等领域。公司主营业务收入主要来源于覆铜板和环氧塑封料两个领域,2019H1 覆铜板和环氧塑封料两大领域贡献了 73.64%的销售收入以及 73.60%的毛利。未来随着先进封 装带动下环氧塑封料市场规模的迅速扩张,有望为公司业绩注入新的增长动力。

球形硅微粉产品实现与国外对标,处于国际领先水平。当前全球球形硅微粉三大龙头 为日本龙森、电化株式会社、新日铁三家企业。根据祝大同的《硅微粉填料在覆铜板中应 用的研究进展》,国外一般球形硅微粉产品性能指标可达球化(成球)率 91%-99%。国家 硅材料深加工产品质量监督检验中心于 2018 年 12 月出具的检验报告显示,公司产品的微 米级球形硅微粉可达球形度 0.987、球化率 98.9%,大于 100µm 的磁性异物为 0,从球形 度、球化率、磁性异物等评价指标来看,公司球形硅微粉产品关键指标达到了国际领先水 平,其性能与国外厂商同类先进材料相当,甚至有一定超越,实现了同类产品的进口替代。 此外,公司在 2019 年度熔融硅微粉和亚微米级球形硅微粉的平均粒径就分别达 0.4µm 和 0.3µm,处于国际领先水平。

球形氧化铝产品已批量投放市场。公司成功掌握了导热用球形氧化铝量产技术并配置 了产能,电子级新型功能性材料一期项目已于 2021Q4 建成投产,新增 8000 吨球形氧化 铝粉+亚微米级球形硅微粉和 1500 吨液态填料产能。2021 年度,公司适用于先进封装和 新一代高频高速覆铜板且具有 Low Df(低介质损耗)特点的高纯球形氧化铝粉持续导入半 导体封装市场,客户订单增长快速,成功将球形氧化铝粉销售至莱尔德、瓦克、派克、三 星、KCC、住友、松下、飞荣达等客户,球形氧化铝粉为公司带来了新的收入来源。

公司产销率近饱和状态,球形硅微粉国内龙头。公司 2016-2018 年总产能利用率分别 为 92.67%、98.56%和 95.17%,产能利用率高,接近满产。为解决产能瓶颈并提升响应 客户订单的能力,近几年公司产能持续扩张,主营业务收入快速增长。公司 2018-2021 年 总产量分别为 63864 吨、66933 吨、76315 吨和 100657 吨,总销量分别为 61527 吨、 63925 吨、76987 吨和 100180 吨,产销率维持在高位,接近满销状态。此外,2018 年公 司球形硅微粉产能仅次于华飞电子,而据公司 2021 年报数据,我们推测其当前球形硅微 粉产能已超 2.2 万吨,对比华飞电子的 1.4 万吨,判断公司球形硅微粉产能已经稳居国内 第一的位置。

兴森科技:国内 IC 封装基板行业先行者,有望受益于先进封装国产替代 

兴森科技是国内最大的印制电路样板小批量板快件制造商,围绕传统 PCB 业务和半 导体业务两大主线开展。PCB 业务聚焦于样板快件及批量板的研发、设计、生产、销售和 表面贴装,半导体业务聚焦于 IC 封装基板(含 CSP 封装基板和 FC-BGA 封装基板)及半 导体测试板,立足于芯片封装和测试环节的关键材料自主配套,一方面加速推动投资扩产 的力度和节奏,实现从 CSP 封装基板到 FC-BGA 封装基板领域的突破;另一方面加强与 行业主流大客户的合作深度和广度。IC 封装基板应用领域涵盖存储芯片、应用处理器芯片、 射频芯片、传感器芯片、CPU、GPU、FPGA、ASIC 等。

营收稳步增长,利润短期承压。公司营收从 2018 年的 34.73 亿增长至 2022 年的 53.54 亿,CAGR 为 11.43%,保持稳步向上增长。2023 年 Q1-Q3,因行业整体下滑导致需求不 足,公司营业收入同比小幅下降。利润端,2018-2021 年公司归母净利润保持快速增长, CAGR 为 42.41%。2022 年,主要受新增产能拖累以及行业需求大幅下滑导致整体产能利用率下降影响,归母净利润有所下滑。2023 年 Q1-Q3,受 FC-BGA 封装基板项目的费用 投入、珠海兴科产能爬坡阶段的亏损以及员工持股计划的费用摊销等因素共同影响,归母 净利润大幅下降。我们预计未来随着公司扩张产能的逐步放量,有望为公司带来新的盈利 增长点,为公司发展注入新的动能。

国内 IC 封装基板先行者,产品获得下游头部客户认可。作为国内本土 IC 封装基板行 业的先行者之一,公司于 2012 年进入 CSP 封装基板领域,通过多年持续的研发投入,在 市场、技术工艺、团队、品质等方面均已实现突破和积淀。公司在薄板加工能力、精细路 线能力方面居于国内领先地位,目前与国内外主流的芯片厂商、封装厂均已建立起合作关 系。IC 封装基板业务下游客户主要包括:1)芯片设计厂商:瑞芯微、紫光;2)存储类厂 商:三星存储、长江存储、西部数据;3)封测厂商:长电科技、华天科技、安靠、京元 电子、太极半导体。

积极投建 ABF 载板产能,有望受益于先进封装国产替代。根据公司公告,公司于 2022 年正式进军 FC-BGA 封装基板领域。1)珠海 FC-BGA 封装基板项目:已于 2022 年 12 月底建成并成功试产。目前处于客户认证阶段,部分大客户的技术评级、体系认证均已通 过,等待产品认证结束之后进入小批量生产阶段。2)广州 FC-BGA 封装基板项目:拟分 期建设 2000 万颗/月(2 万平方米/月)的产线,一期厂房已于 2022 年 9月完成厂房封顶, 目前处于设备安装、调试阶段,公司预计今年第四季度完成产线建设,开始试产。随着两 大产能建设项目逐步建成投产,公司 ABF 载板有望迎来放量,受益于先进封装国产替代, 打破外资厂商垄断,在未来的竞争中脱颖而出。

深南电路:深耕电子互联领域,持续加码布局先进封装

专注于电子互联领域,形成独特的“3-In-One”业务布局。深南电路成立于 1984 年, 始终专注于电子互联领域,致力于“打造世界级电子电路技术与解决方案的集成商”,拥 有印制电路板、电子装联、封装基板三项业务,形成了业界独特的“3-In-One”业务布局。 公司以互联为核心,在不断强化印制电路板业务领先地位的同时,大力发展与其“技术同 根”的封装基板业务及“客户同源”的电子装联业务。公司业务覆盖 1 级到 3 级封装产业 链环节,具备提供“样品→中小批量→大批量”的综合制造能力,通过开展方案设计、制 造、电子装联、微组装和测试等全价值链服务,能够为客户提供专业高效的一站式综合解 决方案。目前,公司已成为全球领先的无线基站射频功放 PCB 供应商、内资最大的封装 基板供应商、国内领先的处理器芯片封装基板供应商、电子装联制造的特色企业。

营收与盈利稳步增长。公司营收从 2018 年的 76.02 亿增长至 2022 年的 139.92 亿, CAGR 为 16.48%,保持稳步向上增长。利润端,2018-2022 年公司归母净利润保持快速 增长,CAGR 为 23.85%。2023 年 Q1-Q3,由于公司 PCB 及封装基板业务下游市场需求 同比下行,叠加公司新项目建设、新工厂产能爬坡等因素共同影响下,公司营业收入和归 母净利润有所下降。

持续加码布局 IC 载板,积极扩张产能。自 2009 年以来,公司持续深耕 IC 载板行业。 从技术方面来看,公司已经具备 FC-CSP、RF、FC-BGA 等载板的工艺生产能力。从客户 方面来看,FC-BGA 产品已交付多家下游客户进行送样认证。从产能方面来看,2021 年 6 月公司公告投资 60 亿元建设广州封装基板生产基地,目标产能 2 亿颗 FC-BGA、300 万 片 RF/FC-CSP 等有机封装基板,项目共分两期建设,其中项目一期已于 2023 年 10 月下 旬连线试产,目前处于产线初步调试阶段。2021 年 8 月公告投资 20 亿用于高阶倒装芯片 用 IC 载板产品制造项目,二期工厂已于 2022 年 9 月下旬连线投产并进入产能爬坡阶段, 产线能力得到持续验证与提升,目前产能利用率达到四成。深南电路持续在技术、客户、 产能等方面加码布局 IC 载板领域,有望受益于先进封装材料的国产化配套需求。

其他先进封装材料相关公司

天承科技:深耕 PCB 专用电子化学品领域,封装载板沉铜产品打破国际巨头垄断。 公司从 2015 年开始研发封装载板沉铜专用化学品,其封装载板沉铜产品达到了业界要求 的技术水准,并成功应用于江阴芯智联电子科技有限公司、中国科学院微电子研究所的载 板生产。ABF 载板的核心功能性湿电子化学品沉铜、电镀、闪蚀等产品,已陆续通过客户 的认证,尤其在 FC-BGA 领域,下游客户包括东山精密、深南电路、方正科技景旺电子崇达技术、兴森科技等 PCB 头部企业。公司和安美特等公司处于同一起跑线,实现了对 国际巨头安美特产品的国产替代。

德邦科技:国内高端电子封装材料领先企业,半导体先进封装材料有望突破。公司是 一家专业从事高端电子封装材料研发及产业化的国家级专精特新重点“小巨人”企业,在 晶圆 UV 膜材料、芯片固晶材料、导热界面材料等多领域实现了国产化,并持续批量出货。 其中芯片导电固晶胶,可以适用于多种封装形式,覆盖 MOS、QFN、QFP、BGA 和存储 器等封装材料。客户包括通富微电、华天科技、长电科技等国内知名集成电路封测企业。 晶圆 UV 膜产品方面,公司拥有从制胶、基材膜到涂覆的完全自主知识产权,目前向华天 科技、长电科技、日月新等国内著名集成电路封测企业批量供货。此外,公司目前正在与 多家国内领先芯片半导体企业合作,对芯片级底部填充胶、Lid 框粘接材料、芯片级导热 界面材料、DAF 膜等产品进行验证测试。芯片级底部填充胶、芯片级导热界面材料、DAF 膜材料部分型号获得关键客户验证通过。

雅克科技:电子材料平台型企业,先进封装用光刻胶进展顺利。公司电子材料业务产 品种类丰富,主要包括半导体前驱体材料、光刻胶及配套试剂、电子特气、硅微粉和半导 体材料输送系统(LDS)等。近年来,公司围绕半导体材料业务领域实施了一系列的并购 重组和产业转型升级,并取得了初步成果。公司由以前面临行业规模和市场占有率双重天 花板的阻燃剂行业龙头公司转型发展成为为战略新兴产业进行配套、解决国内战略新兴材 料自主供应问题的平台型公司。先进封装 RDL 层用 l-Line 光刻胶等产品正按计划在客户端 测试,进展顺利。

艾森股份:电镀+光刻双轮驱动,先进封装带来新的增长动能。公司围绕电子电镀、 光刻两个半导体制造及封装过程中的关键工艺环节,形成了电镀液及配套试剂、光刻胶及 配套试剂两大产品板块布局,产品广泛应用于集成电路、新型电子元件及显示面板等行业。 先进封装电镀方面,公司先进封装用电镀铜基液(高纯硫酸铜)已在华天科技正式供应; 先进封装用电镀锡银添加剂已通过长电科技的认证,尚待终端客户认证通过;先进封装用 电镀铜添加剂正处于研发及认证阶段。先进封装光刻方面,公司以光刻胶配套试剂为切入 点,成功实现附着力促进剂、显影液、去除剂、蚀刻液等产品在下游封装厂商的规模化供 应。目前,公司自研先进封装用 g/i 线负性光刻胶已通过长电科技、华天科技认证并实现 批量供应,在 2022 年度和 2023 年 1-6 月分别实现销售收入 386 万元和 250 万元。

鼎龙股份:平台型半导体材料企业,先进封装用 PSPI 打破国外垄断。鼎龙股份是国 内领先的关键大赛道领域中各类核心“卡脖子”进口替代类创新材料的平台型公司,目前 重点聚焦半导体创新材料领域中:半导体制造用工艺材料、半导体显示材料、半导体先进 封装材料三个细分板块。先进封装用 PSPI 方面,公司解决了 PSPI 合成、纯化、成膜及 验证评价技术等行业难题,开发出具有自主知识产权的先进封装用 PSPI 材料,产业化建 设已实施完成,具备量产供货能力,完成在国内先进封装企业应用验证并成功导入,公司 预计 2023 年下半年实现 2 款先进封装用 PSPI 共年产 40 吨的生产能力,有效填补国内市 场空白,打破国外垄断。

安集科技:国内 CMP 抛光液龙头,先进封装用电镀液进入客户量产导入阶段。公司 是国内 CMP 抛光液龙头,目前产品包括不同系列的化学机械抛光液、功能性湿电子化学 品和电镀液及添加剂系列产品,主要应用于集成电路制造和先进封装领域。公司完成了应 用于集成电路制造及先进封装领域的电镀液及添加剂产品系列平台的搭建,研发产品已覆盖多种电镀液添加剂,多种电镀液添加剂在先进封装领域已进入客户量产导入阶段,进一 步助力国内半导体制造用关键材料自主可控供应能力的提升。

上海新阳:国内半导体化学品领先企业,先进封装用电镀液有望进一步打开成长上限。 公司是国内半导体化学品领先企业,二十多年来,深耕集成电路关键工艺材料领域,已实 现了电镀、清洗、光刻、研磨四大关键工艺化学材料的开发。在晶圆制造及先进封装领域 用电镀及添加剂材料、清洗材料方面,已实现 90-14nm 技术节点全覆盖,并大规模产业化, 公司的先进封装用电镀液已实现多家国内和国际知名半导体封装企业严格的供应商资格 认证及批量销售,有望打开公司新的成长上限。

有研新材:国内靶材龙头企业,先进封装用靶材部分产品已实现量产。公司产业分为 电磁光医四个板块,主营业务定位在具有巨大发展潜力的高纯金属靶材、先进稀土材料、 特种红外光学及光电材料、生物医用材料等多个战略性新材料领域。2023年公司德州基 地集成电路用高纯溅射靶材项目投产后,年产能达到 4.3 万块,达到世界前三水平,可有 效解决高端芯片制造靶材“卡脖子”问题,有助于有研亿金成为国内领先、全球前三的集 成电路用高纯溅射靶材制造企业,推动集成电路产业高质量发展。先进封装方面,有研亿 金《先进封装用高纯金属及其合金靶材关键技术与产业化》荣获中国有色金属工业科学技 术一等奖 4 项。公司国内 8~12 寸先进封装行业用靶材市场占有率领先,先进封装行业用 靶材均可提供,部分产品已实现量产。

(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)

股市回暖,抄底炒股先开户!智能定投、条件单、个股雷达……送给你>>
海量资讯、精准解读,尽在新浪财经APP
基板 芯片 半导体 晶圆

VIP课程推荐

加载中...

APP专享直播

1/10

热门推荐

收起
新浪财经公众号
新浪财经公众号

24小时滚动播报最新的财经资讯和视频,更多粉丝福利扫描二维码关注(sinafinance)

股市直播

  • 图文直播间
  • 视频直播间

7X24小时

  • 01-29 成都华微 688709 --
  • 01-24 华阳智能 301502 --
  • 01-19 北自科技 603082 21.28
  • 01-17 许昌智能 831396 4.6
  • 01-15 美信科技 301577 36.51
  • 新浪首页 语音播报 相关新闻 返回顶部