晶圆代工行业专题报告:制造业的桂冠,制程追赶者的黎明

晶圆代工行业专题报告:制造业的桂冠,制程追赶者的黎明
2020年02月18日 20:00 新浪财经-自媒体综合

来源:未来智库

获取报告请登陆未来智库www.vzkoo.com。

市场空间:先进制程比重不断提升

晶圆代工市场保持增长,先进制程占比在提升

根据gartner预测, 2019年全球晶圆代工市场约627亿美元,占全球半导体市场约15%。预计 2018~2023 年晶圆代工市场复合增速为 4.9%。

开创专业分工模式,晶圆代工厂在半导体产业链中越来越重要。台积电开创了晶圆代工 +IC 设计的模式。随着半导体制造规模效应的凸显,以及技术和资金壁垒的提升,IDM 模式下的厂商扩张难度加大,沉没成本提高。目前垂直分工模式成为了行业的发展趋势, 半导体新进入者大多采用 Fabless 模式,同时有更多的 IDM 公司如 AMD、NXP、TI 等都将走向 Fabless 或 Fablite 模式。

在晶圆代工的支持下,IC 设计厂迅速崛起。根据 IC Insight 数据,2009~2019 年 IC 设 计行业的收入复合增速为 8%,IDM 行业的收入复合增速为 5%。IC 设计的繁荣兴起与 先进制程的资本、技术密度提升,使得以台积电为代表的晶圆代工厂(Foundry)在半导 体产业链中扮演越来越重要的角色。

2020 年晶圆代工市场重返增长,0.016micron、0.032micron 为当前收入占比最高 的节点。根据 Gartner,2019 年全球晶圆代工收入 627 亿美元,增速为-0.2%。预计 2020 年增速回到 8%。结构上,收入贡献最大的为 0.016micron(12/14/16nm),达到 97 亿 美元;其次为 0.032micron(22/28/32nm),达到 86 亿美元。10nm 预计 26 亿美元, 7nm 预计 85 亿美元。台积电 2019 年收入为 346 亿美元,占比达 55%。

根据 Gartner,从产能分布角度而言,2019 年全球晶圆代工等效 8 寸片年产能为 7838 万片,其中 0.18micro 达到 1363 万片,其次 65nm 达到 982 万片,45nm 达到 882 万 片,32nm 达到 80 万片。根据台积电财报,台积电 2019 年等效 8 寸片产能超过 2700 万片,占比约 34%。根据拓璞产业研究,2019 年,28nm 以下制程的营收在前五大厂商 (台积电、三星、格芯、联电、中芯国际)在的合计营收中占比约 44%。

先进制程比重快速提升。根据 ASML 在 2018 年底的预测,先进制程的占比会迅速提高, 其中部分现有制程的产线通过设备升级成先进制程产线。ASML 预测 2025 年 12 寸晶圆 的先进制程占比会达到 2/3。

全球晶圆代工市场以晶圆厂所在地划分,全球晶圆代工前三大区域分别为中国台湾、中 国大陆、韩国。中国台湾占比达到 66%左右,并在先进制程导入和新型产业趋势下引领行业 发展。大陆处于追赶角色,比重正在持续提升,从 2017 年的 9.0%提升至 2023 年的 12.9%。韩国三星持续加大投资,因此韩国的份额也保持略有增长。

2019 年中国大陆晶圆代工市场约 2149 亿元,大陆集成电路向“大设计-中制造-中封 测”转型,大陆的设计、制造将起航。2018 年中国大陆集成电路产业继续保持快速增长, 规模达到 6531.4 亿元,同比增长 20.7%,预计到 2020 年突破 9000 亿。中国大陆集成 电路产业结构将继续由“小设计-小制造-大封测”向“大设计-中制造-中封测”转型,产 业链逐渐从低端向高端延伸,产业结构更趋于合理。

半导体硅含量持续提升,12 寸硅晶圆保持快速增长

长期维度下电子化趋势推进,硅含量不断提升。半导体硅含量代表电子系统中半导体集 成电路芯片总价值占电子系统价值的百分比,可用来衡量半导体的渗透率。如果从下游 需求分析,硅含量就是下游需求中半导体芯片的渗透率。从长期的维度上来看,电子化 是不断推进的趋势,而各类电子产品中的半导体含量过去 20 年来都在不断上升,简称 “硅含量”提升

硅片/硅晶圆是制造芯片的核心基础材料,高纯度要求下工序流程复杂、设备参数要求 高。Raw wafer 在整体成本中的占比并不高(不到 10%,芯片制程越先进占比越小) ,但 是,硅晶圆作为芯片制造的基础核心材料能够从量上直接观测行业芯片的产出、先进制 程升级的节奏。

硅片/晶圆供给的主要增长来自于 12 寸(300mm), 8 寸片以存量产能为主。根据硅片 龙头 Sumco 在 2019Q3 的指引,2018~2022 年 12 寸硅片需求数量复合增长率预期为4.1%;12 寸硅片供给数量复合增长率预期为 3.9%,供给增速低于需求增速。从需求侧 分拆,硅片几大需求包括 Nand、Dram、Logic 和其他

摩尔定律:先进制程成为晶圆制造的分水岭

摩尔定律没有失效,但资本壁垒迅速提升

摩尔定律:当价格不变时,集成电路上可容纳的元器件的数目,约每隔 18~24 个月便 会增加一倍,性能也将提升一倍。英伟达的黄仁勋认为严格意义上的摩尔定律已经失效, IMEC(比利时微电子研究中心)、ASML 等机构为半导体产业规划的蓝图里摩尔定律持续 演进。摩尔定律没有失效,但是制程之外的设计与工艺扮演越来越重要的角色,同时资 本密集度的迅速提升使得行业壁垒发生变化。

摩尔定律推进,但制程提升贡献比例在下降。根据 AMD 数据,过去十年制程升级带来更 高性能、更低功耗,制程升级为半导体性能提升贡献 40%。根据 ASML 预测, 2018~2028 年的未来十年半导体性能提升进一步加速,制程提升的贡献为 30%左右,剩下增长来自 于诸如 3D Stacking、多核架构、内存整合、软件系统、电源管理等多方面的升级。因此, 在未来的芯片性能提升中,架构、系统、软件将扮演越来越重要的角色。

晶圆制造行业发展趋势面临 Die Size 限制和成本限制。过去十年,CPU 及 GPU 的 Die Size 呈现上升趋势,但受制于 12 寸晶圆产线,Die Size 的增长是不可持续的。同时,相 同 Die Size 的晶圆产线,单位面积成本也不断攀升,5nm 的单位面积成本是 45nm 的 5 倍。

先进制程的持续升级带来巨额的成本。根据 IBS,3nm 芯片的设计费用约 5~15 亿美元, 工艺开发费用约 40~50 亿美元,兴建一条 3nm 产线的成本约 150~200 亿美元。3nm 芯 片仅比 5nm 芯片提升 15%性能、降低 25%功耗。根据 IMEC 论文,7nm 以后,每一代 升级单个晶圆的工艺成本(Process Cost)提升幅度达到 30%。同样面积的硅晶圆,即 使通过微缩增加了晶体管的数量,生产成本也会相应增加。

资金、技术壁垒提升,先进制程的供给端向寡头垄断发展,先进制程供不应求。

创新推动先进制程需求。根据台积电的产品组合,最先进制程主要是为 logic 和部分 RF 提供,主流的成熟制程能覆盖大部分其他应用领域。随着创新不断升级,5G、AI、物联 网等需求提升,创新导入时使用的制程工艺从成熟向先进工艺升级。

供给受限于有限的产能。目前先进制程的供给端只有台积电、三星、英特尔。英特尔为 IDM,自家消费级 10nm 产品产能不足、市场缺货。受益于 5G、智能手机、HPC、AIoT 等需求,7nm 及以下先进制程需求旺盛。台积电为先进制程的核心晶圆代工厂,目前 10nm 工艺客户已经超过 10 家,7nm EUV 客户至少 5 家(苹果、海思、高通、三星、 AMD), 6nm 客户除了 7nm EUV 的 5 家还多了博通、联发科。台积电 7nm 产品持续满 产,多个客户争抢产能,由台积电进行产能配置。

晶圆制造行业技术复杂度不断提升

摩尔定律引领半导体产业,实现产业持续升级需要贯穿整条产业链,包括上游(设备如 光刻机厂商 ASML)、晶圆制造(台积电、英特尔、三星)以及下游(IC 设计如苹果、AMD、 海思、高通、联发科等)等环节的厂商协同。

光刻机从 DUV 到浸入式 DUV,再升级成 EUV,成为推进摩尔定律的重要环节。根据 ASML 预测,晶圆代工领域节点会持续升级;内存 DRAM 领域也将使用 EUV;闪存 Nand 等向 3D 堆叠发展,不需要用 EUV 升级。其他设备龙头厂商如 AMAT、Lam Research、 KLA Tencor 等也纷纷布局先进制程节点相关设备。

晶体管结构创新,形态更加复杂。2011 年,英特尔在 22nm 时引入 FinFET,减少横向 尺寸,增加单位面积设备密度,同时增加鳍的高度。三星计划于 2021~2022 引入 GAA, 应用于其 3nm 制程。台积电除了 GAA 晶体管结构之外,也进行其他方向布局

先进封装技术是高性能芯片的重要基础之一。硅通孔(TSV)的三维封装技术在超越摩 尔定律中扮演重要角色。先进封装技术提升了互联密度和信号传输速率。在已经量产的 2.5D IC 领域,台积电主推 CoWoS 工艺,英特尔主推 EMIB 工艺,三星主推 FOPLP。未 来通过难度更高的 TSV 技术,台积电将进一步量产 SoIC、WoW 等 3D IC,英特尔推出 Foveros 技术,三星推出 3D SiC。

护城河加深,行业高壁垒、高集中、少进入者

先进制程呈现资金、技术壁垒不断提高的趋势,行业格局逐渐出清。从制造环节而言, 行业资金、技术壁垒极高,不仅十多年来没出现新的竞争玩家,而且随着制程分水岭的出现,越来越多的参与者从先进制程中“出局”。格罗方德在 2018 年宣布放弃 7nm 研 发,联电在 2018 年宣布放弃 12nm 以下(即 7nm 及以下)的先进制程投资,因此保持 先进制程研发的玩家仅剩行业龙头台积电、三星、英特尔等,以及处于技术追赶的中芯 国际。

高资金壁垒和技术壁垒,行业十多年没有新的竞争者出现且越来越多现有玩家放弃先进 制程追赶。庞大的资金投入使得中小行业玩家望而却步,复杂越来越高的工艺和技术成 为行业固有护城河,并且随着“摩尔定律”推进,每一个制程节点都举步维艰,拥有高 端制程能力的公司屈指可数。

行业呈现寡头垄断,台积电强者愈强。根据拓璞产业研究,2019 年全球十大晶圆代工厂 分别为:台积电、三星、格芯、联电、中芯国际、TowerJazz、H-Grace、VIS、PSC、 DongbuHiTek。台积电市占率超过 50%,在整个晶圆代工行业,台积电不管是技术领先 性还是优质客户和订单的选择,都是保持比较大的优势。

目前能够提供 7nm 及 7nm 以下先进制程工艺(对应英特尔 10nm)的厂商仅有台积电、 英特尔和三星。根据拓璞产业研究,2019 年台积电先进制程市场份额为 52%,英特尔 约 25%,三星约 23%。

晶体管密度不断提升,但不同厂商命名规格有区别。英特尔的 10nm 工艺晶体管密度介 于台积电 7nm 和 7nm EUV 之间。2019 年,台积电 7nm 制程投资大概 100~110K 产能, 客户较多。三星 7nm LPP(EUV)工艺产能大概 10K,三星的晶圆代工业务客户主要是 三星、高通、IBM。根据拓璞产业研究,2020 年台积电 5nm 产能预计 60~70K,同时三 星预计也会推出其 5nm 工艺。

台积电积极推动先进制程,引领全行业。根据制程性能提升幅度上看,28nm、16nm、 7nm 等具有显著提升幅度的节点,一般具有相对较长的寿命;而提升幅度较少的节点一 般为过渡节点。台积电 6nm 预计在 2020Q1 进行风险试产,预计 2020 年年底量产;5nm进入爬坡提升良率阶段,预计 2020 年 3 月开始量产。台积电的 5nm 逻辑密度将是之前 7nm 的 1.8 倍,SRAM 密度是 7nm 的 1.35 倍,可以带来 15%的性能提升,以及 30%的 功耗降低。

英特尔在 10nm 制程上稍微落后,随后 7nm 预计在 2021 年量产,并持续进行优化。 英特尔制程升级历史一般是两年一次,但从 2014 年的 14nm 到 2019 年的 10nm,出现 明显的升级放缓。目前,英特尔的 10nm 工艺已经量产,但存在缺货问题。英特尔预计 2020 年推出 10nm+,2021 年推出 7nm 及 10nm++,2022 年推出 7nm+,2023 年推 出 7nm++。英特尔的晶圆厂主要用于生产自家 CPU。

英特尔 2020 年 capex 提升至 170 亿美元,其中一半用于 7/5nm 和扩大 Fab 工厂。英特 尔的 10nm 还是采用浸入式 DUV 设备,7nm 才开始导入 EUV 设备。英特尔的芯片从 2018H2 开始就供不应求,因此 2019 年 capex 为 162 亿美元,产能增长 25%。

三星积极投入晶圆代工领域,加快制程升级。三星在 2017 年将晶圆代工业务部门从系 统 LSI 中独立出来,主要为全球客户制造非存储芯片。截止 2019 年底,三星晶圆代工专 属线包括 6 条 12 寸线和 3 条 8 寸线。提供包括 65 纳米、45 纳米、32/28 纳米 HKMG、 14 纳米 FinFET、10 纳米 FinFET、7 纳米 FinFET EUV 工艺,客户包括苹果、高通、超微 半导体、赛灵思、英伟达、恩智浦(NXP)以及韩国本土公司 Telechips 等。三星计划在 2020 年底试产 3nm 工艺,并专用 GAA MCFET 工艺技术。三星计划在未来十年(至 2030 年)共投资约 1150 亿美元,用于争取晶圆代工行业主导权。

半导体需求三驾马车共振,国产替代迎来机遇

创新趋势不变:创新是决定电子行业的估值与持续成长的核心逻辑,本轮创新由 5G 驱 动的数据中心、手机、通讯等历史上第一次共振。

中期供需仍紧张:全球半导体投资关注中期供需的核心变量——需求与资本开支,疫情 对短期需求会有一定扰动,但中期三大需求不受本质影响,而全球资本开支截止 2019Q3 末还没有全面启动,并有部分企业由于疫情再次递延资本开支,中期供需缺口有望继续 放大。

供给方面,全球资本开支除龙头台积电外尚未全面启动,我们预计本次疫情中部分企业将再度进行资本开支递延,中期供需缺口有望进一步放大,中期景气度有望继续保持向 上趋势!

国产替代历史性机遇开启,2019 年正式从主题概念到业绩兑现,2020 年有望继续加 速。逆势方显优质公司本色,这是 19 年行业下行周期中 A 股半导体公司迭超预期,优 质标的国产替代、结构改善逐步兑现至报表是核心原因。进入 2020 年,我们预计在国产 化加速叠加行业周期景气上行之下,A 股半导体龙头公司们有望延续高增长表现。

数据中心:数据中心回暖,受益于 5G 持续发展

在目前服务器均价已经企稳的同时,我们认为在未来随着 5G 对其的拉动,内部升级将 不间断,5G 网络带来的传输速度将会较 4G 有质的提升,服务器将会在应对存储方面 呈几何倍数增长的需求的同时,还需要保持高带宽、低时延、高稳定性的要求,对于服 务器而言无疑是在性能方面提出了更高的要求。服务器或有望在未来实现更进一步的价 值量的提高,达到价量齐升的平台。

全球服务器行业已经历经多年,其出货量从 2013 年至 2018 年也经历了起起伏伏。2018 年,全球服务器市场出货量再次实现了超越 10%的增长,主要源自于云计算、大数据、 AI 等新一代技术对互联网企业持续拓展基础架构规模的推动,同时也刺激了传统企业用 户的采购需求。2019 年,企业买家和超大规模公司通过 ODM 购买的需求比前几个季度 减少,这影响了第一季度的市场增长速度,但用户对高配置服务器的需求将进一步支持 平均售价的增长。

随着 IoT、AI(尤其智能安防)和智能驾驶时代到来,边缘计算的快速成长带来的性能 需求将成为中长期半导体的成长驱动!数据中心对服务器的需求成为整体服务器市场出 货成长的关键。我们预计近两年来数据中心服务器的需求将在 2020 年前完成规划,将 继续维持每年二至三成的年增率,推动服务器出货量及市场的增长。

我们根据 IDC 以及 Gartner 对于过往季度的服务器出货量以及对未来的服务器出货量的 预测进行调整后,我们预计在 2019 年后服务器行业将受到 5G 时代的冲击,实现长期且 稳定的出货量的增长,同时由于服务器产品的不断升级,我们也预计其单价将在未来逐 步增长。预计全球服务器的出货量将会在 2020 年达到 1220 万台,而随着 5G 的逐步铺 设,在 2021 年将会继续保持约 10%的增长,且之后预计将以每年 7%~8%的增速稳定 且持久的增长。

对于中国内服务器需求及出货量而言,我们认为中国作为 5G 建设最快国,服务器方面 的建设也将遥遥领先,同时由于中国在该方面进度略慢于海外,故在 2021 年之前服务 器出货量将维持高于全球增速的平台之上,之后逐步恢复,与全球的服务器增速趋同。

我们依旧是根据 Gartner 以及 IDC 的数据对服务器进行了简单的分类:高性能运算服务 器以及传统服务器。根据预测,在接下来数年内服务器市场的增长将主要以可支撑 AI 计 算方面的高性能服务器为主,同时也将带动 CPU/GPU、以及 Dram 的高增长。

手机:5G 放量“前夜”,单机硅含量提升

中国手机市场正值 5G 放量的“前夜”。IDC 公布全球 2019 年 Q3 手机出货量为 3.58 亿 部,同比增长 1%,智能手机的市场正在逐渐回暖。按照市场份额来看,排名第一的为三 星,三季度出货 7820 万,同比增长 8.3%。华为排名第二,三季度出货 6660 万,同比 增长 28.2%。苹果三季度出货 4660 万,同比下滑 0.6%

5G 芯片备货量超预期,逐渐向中低端渗透。根据 IDC 预测,2019 年 5G 手机出货量为 670 万部,份额仅为 0.5%。到 2023 年,5G 手机出货量将达到整体手机出货量的 26%。各家 5G 芯片供应商纷纷加足马力备货,我们预计 2020 年全球 5G 手机出货量为 2-3 亿 部。

台积电 7 纳米制程产能在 2019 年第 3 季开始全线爆满的盛况,2020 年上半年都可能出 现产能供不应求的局面。联发科、高通、三星电子及海思等 5G 芯片供应商,都不断要求 上、下游协力厂大举扩充产能,并有效拉高公司内外的库存水平。我们看到 5G 芯片的 备货开始向中低端加速渗透。

移动数据传输量和传输速度的不断提高主要依赖于移动通讯技术的变革,及其配套的射 频前端芯片的性能的不断提高。在过去的十年间,通信行业经历了从 2G 到 3G 再到 4(FDD-LTE/TD-LTE)两次重大产业升级。在 4G 普及的过程中,全网通等功能在高端智 能手机中得到广泛应用,体现了智能手机兼容不同通信制式的能力。

根据 QYR Electronics Research Center 的统计,从 2011 年至 2018 年全球射频前端市场 规模以年复合增长率 13.10%的速度增长,2018 年达 149.10 亿美元。受到 5G 网络商业 化建设的影响,自 2020 年起,全球射频前端市场将迎来快速增长。2018 年至 2023 年 全球射频前端市场规模预计将以年复合增长率 16.00%持续高速增长,预计 2023 年接近 313.10 亿美元。

随着消费者对高质量拍照、录像的需求日益增加,摄像头模组的进化是智能手机发展的 必经之路。伴随着双摄、三摄渗透率的提高,市场将会开启新的成像变革。根据 Statista 的预测,2018 年三摄渗透率仅为 1.6%,而到了 2020 年三摄的渗透率将达到 24.5%。在采用三摄的机型上,安卓阵营在今明两年或比苹果更加积极。

旗舰机种的像素不断升级,由 2000 万逐渐升至 4000 万。前置摄像头也逐渐由 800 万 升级至 2400 万,拍照效果提升。此外,国内高端机种的镜头也逐渐从 5P 升级到 6P,以 便实现超级大广角,大光圈,光学变焦也不断升级至三倍,使得夜拍效果逐渐加强。IDC 预计 2018 年后置镜头的 6P 渗透率约为 40%。

智能手机创新进一步提升单机硅含量。Sumco预计5G 智能手机升级将拉动需求,DRAM、 camera 硅含量翻倍,Nand 硅含量增长至 8 倍。假设高端手机为 4 亿部不变,5G 升级促 进高端手机所消耗的硅片将从 430 K/M 提升至 533 K/M。

通讯:5G 基站建设进入放量期

5G 由于需要提供更快的传输速度,所使用的频率将向高频率频道转移,从而无法避免的 会将其信号的衍射能力(即绕过障碍物的能力)降低,而想要将其解决的办法既是:增 建更多基站以增加覆盖。

基建建设带来的放量降价是每一轮通信周期的必由之路,行业必然经历一波洗礼,回顾 2G-4G 的历史,具有技术及资本护城河,掌握渠道优势的公司走的更长,需要深入评估 每个赛道的竞争格局和公司治理能力。

根据赛迪顾问的预测数据,5G 宏基站的数量在 2026 年预计将达到 475 万个,是 2017 年底 4G 基站 328 万个的 1.45 倍左右,配套的小基站数量约为宏基站的 2 倍,约为 950 万个,总共基站数量约为 1425 万个。PCB 是基站建设中不可缺少的电子材料,如此庞 大的基站量,将会产生巨大的 PCB 增量空间。

全球各大运营商将加紧部署 5G 基站。截至 2019 年 8 月,全球参与 5G 投资和建设的国 家和运营商分别为 98 个和 293 个,全球 5G 基站累计出货量 45.3 万个。其中,中国 5G 基建出货量位居世界第一,已构建 8.6 万个基站。在 293 个通信供应商中,有 55 家在 网络中已部署了 5G,其余数百家仍处于规划、评估、测试阶段。

运营商资本开支方面。2019 年国内三大运营商资本开支企稳回升。中国移动 2019 年资 本开支预计为 1660 亿元,同比下滑 0.7%,中国电信 2019 年资本开支预计 780 亿元, 同比增长 4%,中国联通 2019 年资本开支预计 580 亿元,同比大幅提升。2019 年整体 资本开支合计约 3020 亿元,同比回升约 4%

国产替代:历史性机遇开启,晶圆代工订单转移

国产替代历史性机遇开启,今年正式从主题概念到业绩兑现。逆势方显优质公司本色, 为什么在 19H1 行业下行周期中 A 股半导体公司迭超预期,优质标的国产替代、结构改 善逐步兑现至报表是核心原因。

华为事件加速国产链重塑,几乎所有科技龙头,甚至部分海外龙头也在加快国产链公司 导入。过去我们见证了通信、家电、工程机械、光伏、高铁、消费电子等核心战略领域 从无到有,从弱到强的过程,半导体行业已经具备市场、系统、下游、技术突破等成长 关键要素。

华为对于打压多年前就有所预计并持续推演,长期以来在研发、业务连续性方面进行了 大量投入和充分准备,“下闲棋、烧冷灶”。之前我们以智能终端为例进行拆解、分析和 比较,可以发现华为作为一家系统级公司,已经在大部分芯片品类上自给自足,同时也 注意到存储、射频、模拟芯片上仍然存在短板、受制于人。

我们预计华为正在开启一轮国产供应链重塑,目前产业跟踪来看代工、封装、测试以及 配套设备、材料已经开始实质性受益,我们绘制传导路径如下:

海思国产链传导受益路径分析:

➢ 代工订单:海思加大自研力度,追单台积电先进制程,同时传统制程向中芯国际大 量转移,从而台积电、中芯国际产能利用率提升受益;

➢ 封测订单:第一重受益海思自研产品提升(总盘子提升),第二重受益封测订单由安 靠等海外厂商向国内代工龙头长电科技华天科技等厂商转移,从而长电科技、华 天科技、通富微电等封测厂商产能利用率提升;

➢ 配套设备&材料:国内供应链占比提升后,国内封测厂追加产能,CAPEX 提升,从 而配套设备供应商及材料供应商或将从中受益。

行业近况:景气上行,新一轮资本开支启动

台积电:全球晶圆代工龙头厂商,增加资本开支推进先进制程

台积电 Q4 业绩符合预期,Q1 营收、毛利率超预期。台积电 Q4 收入 103.9 亿美元,环 比+8%,毛利率 50.2%超此前指引上限;EPS NT$4.5, +15%QoQ/+16% YoY。收入、 毛利率、EPS 均高于市场预期和公司之前指引。1Q20 收入指引 102~103 亿美元,环比 -1.7%/同比+45%YoY,超出市场乐观预期。同时 1Q20 产能利用率环比指引继续提升。

资本开支继续上调,看好后续景气度。先进制程持续升级,资本开支继续提高。6nm 预 计 2020 年底量产,5nm 预计 2020 年 3 月可以开始量产。台积电 2018/2019 年资本开 支 105、149 亿美元,2020 年资本开支预计 150~160 亿美元(此前预期 130~140 亿美 元)。未来如果 3nm 技术顺利推进,资本开支将不会下降。

2020 年继续领先行业增速。半导体行业拐点到来,增速回暖,库存健康,稼动率提升。2020 年半导体行业(ex-Memory)增速预计 8%,晶圆代工增速预计 17%,台积电预计 2020 年同比+20%继续领先行业增速成长。台积电库存位于健康水位。7nm、12/16nm 需求强劲,5G、HPC、IoT 有望推动先进制程增长,预计 2020 年 5G 手机渗透率约 15% 左右。28nm 整体产能充足。8 寸晶圆由于指纹、PMIC、Driver IC 的需求,产能较为紧 张。

资本开支是创新周期的先行指标,我们复盘台积电二十年成长,每一轮资本开支大幅上 调后均有 2~3 年的显著高增长。历史上台积电基本每 10 年出现一次资本开支跃升,此 前分别是 99~01、10~11 年,并且每次资本开支大幅上调后的 2-3 年营收复合增速会显 著超过其他年份。以 09~11 年为例,资本开支从 09 年 27 亿美元跃升至 60-90 亿美 元,此后保持于高位,相应在 11 年率先推出 28nm 制程引领行业实现连续高增长。本轮 7nm/5nm EUV 同样是重要的制程节点,面向 AI/HPC/5G/IoT 等应用爆发,台积电资 本开支再度进入跃迁式提升,从 2018 年的 105 亿美元提升至 2019 年 149 亿美元,2020 年还将继续维持高位。

除了先进制程,台积电的特殊工艺平台也比较丰富。台积电逻辑制程涵盖 7nm/16nm/12nm/22nm……等。特殊涵盖 BCD、NVM、HV、Sensor、ULP/ULL、模拟、 射频等多种技术平台。

中芯国际:先进制程追赶加速,14nm 进展超预期

中芯国际公司是全球位列第四的纯晶圆代工厂商,也是国内技术最先进、规模最大的集 成电路制造企业。中芯国际公司成立于 2000 年,总部位于上海,公司深耕晶圆代工近 20 年,8 英寸及 12 英寸晶圆产能均国内第一,技术横跨 0.35μm 到 14nm,产线布局 广泛,是集晶圆代工与技术服务于一身的一站式平台。

公司可提供的高端制造包括:逻辑芯片、混合信号/射频收发芯片、耐高压芯片、系统芯 片、闪存芯片、EEPROM 芯片、图像传感器芯片电池管理、微型机电系统等;晶圆代工 解决方案的服务涉及:光罩制造、IP 研发及后段辅助设计服务,如:凸块加工服务、晶 圆探测,终端封装、测试等。公司下游客户端多为行业优质企业,包括高通、博通、华 为海思、格科微、兆易创新、紫光展锐、北京豪威等。

先进制程取得突破。公司是国内首家可提供 28nm PolySiON 和 HKMG 先进制程的晶圆 代工企业;随后完成 28nm HKC+技术开发,并于 2018 年末度成功实现量产。随着梁孟 松加入,制程追赶重启。2019 年下半年,公司 14nm FinFEF 实现量产。目前,公司 12nm 工艺进入流片阶段,新一代研发的FinFEF N+1 工艺也进入客户导入阶段,将助力于5G、 物联网、车用电子等新兴应用的发展。

中芯国际是国内先进制程追赶的重要平台。中芯国际 28nm 进展相对放缓,且台积电 28nm 产能过剩,因此一直没扩。梁孟松加入中芯国际以来,开始加大技术投入和追赶, 14nm 的量产便是标志性事件。预计 14nm 开始扩产,同时更先进的技术制程也会快加 推进。我们认为 14nm 的突破只是开始,未来更先进制程上有望大幅缩小与台积电的代 际差异(28nm、14nm 均差了四年)。

打造国内重要的先进制程投资平台。根据 2019Q3 财报,公司前三大股东分别为大唐电 信 17.01%、产业基金 15.78%、紫光集团 6.93%、公司旗下主要有四家全资子公司,中 芯北京、中芯上海、中芯天津、中芯深圳,此外还有合资公司中芯北方、中芯南方、中 芯长电等。其中,中芯南方将打造先进制程晶圆代工厂。

中芯国际在北京、上海、天津、深圳等地共有已建及在建晶圆厂 7 座(4 座 12 寸厂与 3 座 8 寸厂)。截止 2019Q3,公司 8 寸晶圆月产能达 22 万片,12 寸晶圆月产能达 10 万 片,合计折算后的 8 英寸月产能高达 44.39 万片,位于国内首位。2019Q3 产能利用率 高达 97%。

8 英寸方面,PMIC、指纹识别、图像传感器为主要推动力。其中,公司 0.15/0.18μm 下游营收占比最大,2019 年三季度占总收入比例达 35.8%。0.15/0.18μm 下游应用于 电源管理 IC、指纹识别、CIS、智能卡嵌入式存储、MCU 及配套 eFlash 等。

12 英寸成熟制程方面,应用处理器、视频处理芯片、WIFI 蓝牙芯片为主要应用。近两 年,公司 55/65nm 营收占比逐年提高,2019Q3 提升至 29.3%,主要来自逻辑、MCU、 射频,应用端包括对于性能要求较低的应用处理器如自行车记录仪、学生电脑、MCU 及 配套 eFlash、NOR Flash、WiFi 蓝牙芯片、通讯相关芯片、CMOS 图片传感器等。40nm 制程工艺营收主要来自逻辑、射频、NAND,应用端包括机顶盒和数字电视应用处理器、 ISP 芯片、多媒体和显示器、WIFI 蓝牙芯片以及 38nm SPI NAND Flash 等。

中芯国际 14nm FinFET 工艺的实现也标志着公司下游应用将迈进 5G、物联网、车用 电子、高性能计算等领域。公司预计该工艺在 2020 年实现量产;对于应用端,计划未来 按三阶段进行推进:一阶段,聚焦高端客户,多媒体应用;二阶段,聚焦中低端移动应 用,并在 AI、矿机、区块链等应用有所准备;三阶段,发展射频应用。

行业景气,产能利用率逐季提升。中芯国际 2019Q1~Q4 产能利用率逐季提升,2019Q3 位 97%,2019Q4 达到 99%。预计行业景气持续保持。通讯占比最高,同时中国大陆及 香港贡献作用提升。

2019 年第四季度实现营业收入 8.39 亿美元,相比去年同期 7.88 亿美元增长 6.6%。 环比提升 2.8%,去除 Avezzano 200nm 晶圆厂营收贡献的影响,同比提升 4.6%。环比 涨幅达13.8个百分点。四季度实现毛利1.99亿美元,同比提升17.4%,环比增长48.7%, 整体毛利率 23.8%,比去年同期的 17.0%提升接近 7 个百分点。CMOS 图像传感器、电 源 IC、指纹,蓝牙和专用存储平台强势增长,收入在 2019 年第四季度环比增长 5%, 同比增长 11%。

Q4 营收国内客户占比提升至 65%,国产化趋势继续加强。公司在中国区营收四季度占 比 65%,环比增长 11%,同比增长 21%,国产化趋势进一步增强。

14nm 超预期,12nm 开始客户导入。14nm 工艺 19Q4 创造营收约 769 万美元,占总 营收的 1%,预计营收将在今年稳步上升,产能也将会随着中芯南方 12 英寸厂产能爬坡 而增长;中芯国际 12nm 工艺也已经进入了客户导入阶段,2019 年年底有几个客户已经 进行了流片;下一代 N+1 工艺与 14nm 相比,性能将有 20%的提升,功耗降低 57%, 逻辑面积缩小 63%,SoC 面积减少 55%,N+1 新平台已开始有客户导入,证明公司研发投入转换率加快提高。

2020Q1 业绩指引超预期,启动新一轮资本开支。2020Q1 公司整体营业收入预计为 8.39~8.56 亿美元,环比增加 0%~2%,毛利率预计介于 21%~23%。2020 年公司启动 新一轮资本开支,预计全年晶圆代工厂资本支出约 31 亿美元,其中 20 亿美元用于上海 300mm fab,5 亿美元用于北京的 300mm fab 的设备和设施建设。

华虹半导体:8 寸晶圆高度景气

华虹半导体(1347.HK)于 2005 年在香港注册成立, 是华虹集团旗下子公司,2014 年 10 月在香港主板上市。华虹半导体主要业务透过位于上海的子公司,上海华虹宏力半导体 制造有限公司(“华虹宏力”)开展,由原上海华虹 NEC 电子有限公司和上海宏力半导 体制造有限公司于 2011 年新设合并而成。

华虹半导体是全球领先的 200mm 纯晶圆代工企业,仅次于台湾世界先进。公司致力于 研发及制造专业应用的 200mm 晶圆半导体,涵盖嵌入式非易失性存储器、功率器件、 模拟及电源管理和逻辑及射频等差异化工艺平台,其卓越的质量管理体系亦满足汽车电 子芯片生产的严苛要求。

截止 2018 年公司五大产品平台的营收占比:内嵌式非易失存储器 38.70%,分立器件 33.40%,模拟与电源管理 15.30%,逻辑与射频 10.20% 和 独立非易失性存储器 2.30%。

(1)嵌入式非易失性存储器:营收占比最高的是嵌入式非易失性存储器,包含高密度、 高性能的嵌入式闪存(eFlash),耐擦写、可靠性高的电可擦写可编程只读存储器 (EEPROM)和兼容逻辑工艺、低成本的一次编程/多次编程存储器(eOTP/MTP)。90 nm 低功耗嵌入式闪存工艺平台实现了高端智能卡芯片的国产化,具有高度自有知识产权, 已累计获得国内发明专利授权 70 件,美国专利授权 20 件,提高了客户产品的竞争力

工艺平台高性能、高可靠性及高集成度令华虹半导体成为各类智能卡及微控制器(MCU) 等多种快速发展的嵌入式非易失性存储器应用的首选晶圆代工企业之一。智能卡是华虹 半导体公司在嵌入式非易失性存储器产品的主要应用,目前占公司收入的比例大约 24%,是公司的核心业务之一。作为领先的智能卡芯片代工提供商,华虹宏力的代工产 品涵盖电信卡、U-key、社保卡、身份证、银行卡以及众多安全芯片。2018 年,华虹宏 力智能卡芯片出货量达 30 亿颗;其中,SIM 卡芯片出货 19 亿颗,约占全球 1/3 市场份 额。

(2)分立器件:华虹半导体生产的分立器件有三大类:( 1)600V 沟槽式 MOSFET。该 技术的产品非常适合用于市电范围的开关电源、AC/DC、适配器/充电器和 LED 照明等应 用。(2)深沟槽型超级结 MOSFET 600-700V。公司超级结的第三代工艺技术已经成熟。该技术的产品非常适合用于市电范围的开关电源、AC/DC、适配器/充电器和 LED 照明等 应用。(3)基于沟槽结构的 600V-1200V 非穿通型和场截止型 IGBT,目前主要应用于小 家电、 UPS、电焊机、马达驱动、充电桩等,已进入电动汽车的逆变器,成为汽车电子 功率器件可靠的平台。

作为全球首家以及最大的 8 英寸纯晶圆功率器件制造企业,华虹宏力功率器件的技术 全球领先。公司有 10 年以上的功率器件产品的量产经验,多年的量产经验保证了华虹 宏力的高品质和高合格率,同时也培养了一支成熟的技术开发团队,致力于开发高压和低开启电阻的 MOSFET 工艺平台与 IGBT 技术工艺。公司拥有一座专门制造功率器件产 品的晶圆厂,透过灵活及可定制的制造平台,可满足各种客户的特定需求。

(3)电源管理 IC:公司的主要收入支撑之一,占比在 15%~20%波动。华虹宏力拥有 先进的电源管理 IC 工艺平台,主要包括高集成度的 BCD(Bipolar,CMOS 和 DMOS)和 CDMOS,技术制程在 0.13μm-0.8μm 节点区间,可广泛应用于音频功放、室内外照明、 电源管理、工业控制、汽车电子等领域,特别是 DC-DC 转换器、AC-DC 转化器、LED 照 明和电池管理等产品。

公司在电源管理 IC 解决方案上布局广泛,是目前国内出货量最大的 LED 驱动芯片代 工厂家。提供最佳性价比的 0.5μm 700W BCD 工艺,同时成功研发了单独超高压结型 厂效应管(JFET),具有耐高压、覆盖广、 IP 面积小,充电电流稳定等优良特性。

(4)逻辑与混合信号:华虹宏力提供从 0.5μm 至 90nm 的标准逻辑与混合信号铝制程 工艺平台,涵盖 200mm 晶圆代工支持的所有先进工艺节,并提供微缩版的工艺制程, 包括 0.162μm、0.153μm 及 0.115μm。这些缩小化标准制程的优势在于客户不需要 更改设计,就可以获得更多的芯片,从而降低生产成本。

(5)射频:华虹宏力针对应用于无线通信与有线光通信的射频技术提供硅衬底全系列工 艺解决方案,包括 SiGe Bipolar/BiCMOS、RF LDMOS、与逻辑工艺兼容的 RF CMOS、以 及 SOI RF CMOS 等。

2018 年,公司与华虹宏力、华虹半导体(无锡)有限公司、国家集成电路产业投资基金 等签订合营及增资协议,共同开发无锡 300mm 晶圆生产线。

整个 2019 财年,全球半导体市场下挫 12%,但华虹收入表现不凡,销售收入创历史新 高,达 9.326 亿美元,较上年度增长 0.2%,主要得益于 MCU、超级结、IGBT 和通用 MOSFET 产品的需求增加,尤其是在中国、亚洲其他地区及欧洲;其他收入净额 0.78 亿美元,比上年度上升 94.4%。

公司 2019Q4 来自于华虹 8 寸的销售收入为 2.354 亿美元,新建的无锡 12 英寸生产线 于第四季度正式投产,实现 740 万美元的出货目标,对公司未来发展意义重大。该公司 位于无锡高新技术产业开发区内,旨在打造集成电路研发和制造基地,一期项目(华虹 七厂)投资 25 亿美元,建设一条月产能 4 万片的 12 英寸集成电路生产线,支持 5G 和 物联网等新兴领域的应用。

联电:产能利用率提升,资本开支增加

联电2019年Q4收入、利润表现强劲。实现营业收入418.5亿新台币,同比上升17.83%, 环比增长 10.89%,是联电的最高纪录。归属于母公司股东的净利润总额为 38.37 亿新 台币,环比增长 31.00%,每股普通股收益为 0.33 台币。公司实现毛利率为 15.7%。与 去年同期相比,全年收入为 1482 亿新台币,同比小幅下降 2%,这主要是由于 2019 年 初的疲软。

产能稳步稳定提升,下游需求稳定。公司 19Q4 产能为 224 万片,环比上升 12%,比上 季度增长 23.3 万片,产能稳步爬升。厦门工厂将成为 28 纳米扩张的主要区域,到 2021 年中,厦门的月产能可能达到每月 25,000 个硅片。

预计 2020Q1 需求保持旺盛,无线通信和计算机外围设备领域对晶片的需求稳定。预计 将从 5G 和 IoT 趋势中产生额外的半导体需求受益,包括在逻辑和专用技术上尽早推出 5G 的机会和增加功率和射频应用。其次,公司受益于智能手机中 OLED 的普及,将推动 OLED 驱动器 IC 的发展。

2020Q1 淡季不淡,资本开支提升。公司预计 2020 Q1 晶圆出货量保持不变;平均售价 (美元) 持平;毛利率将维持在 15%;公司代工厂产能将维持在 90%;公司对代工厂 2020 年资本支出预算将达 10 亿美元 (其中 8 英寸占比 15%,12 英寸占比 85%)

财报分析:战略选择与投资回报率,追赶者的黎明

行业产能利用率逐季提高,需求持续旺盛。从行业产能利用率周期来看,本轮周期行业 产能利用率的低点在 2019Q1,2019 年半导体制造业链条晶圆代工、封测产能利用率均 逐季上行。晶圆代工行业上行,台积电 2019Q4 法说会上,展望 5G、HPC、IoT 将持续 拉动先进制程需求,同时 8 寸晶圆受益于指纹、PMIC 及 Driver IC 需求推动。中芯国际 受益于 CIS、电源、指纹、蓝牙和专用存储平台的增长,需求也非常紧张。

Capex 进入上行期,台积电、中芯国际纷纷增加资本开支。台积电率先推进大幅资本开 资提升,推进先进制程应用。台积电 2018 年资本开支 104 亿美元,2019 年提升会 148 亿美元,2020 年预期 150~160 亿美元。中芯国际 2019 年资本开支 22 亿美元,预期 2020 年上升至 31 亿美元,开启新一轮资本开支。

出货量上,行业龙头具有规模优势,中芯国际仍在追赶。从出货量上看,台积电 2019Q4 季度出货量约 282 万片等价 12 寸片,同比增长 5%,环比增长 3%;中芯国际 2019Q4 季度出货量约 60 万片等价 12 寸片。同比增长 19%,画笔增长 2%。

行业龙头凭借技术、规模优势,享受最高的均价。从单价上看,以等效 12 寸计价,台积 电 2019Q4 的代工价格高达 3631 美元/片,联电的均价和中芯国际相似,约 1400~1500 美元/片。并且,二线厂商在没有推进新技术的情况下,会承受价格下降的趋势。

台积电收入体量领跑行业,强者愈强。从收入规模上看,台积电 2019Q4 收入为 103.9 亿元美元,同时期内联电收入 13.9 亿美元、中芯国际收入 8.39 亿美元、华虹半导体 2.43 亿美元。根据 IC insight 数据, 2013~2019 年晶圆代工行业复合增速为 8.7%。2013~2019 年,台积电收入复合增速为 9.5%,联电为 2.4%,中芯国际为 7.1%。行业龙头保持领 跑优势。

从毛利率角度,行业龙头台积电毛利率保持在 40~50%附近;集中于 8 寸片、较少进行 先进产线和技术投资的世界先进、华虹毛利率在 30%附近;中芯国际、联电的产线技术 分布较为全面,毛利率较低。世界先进、华虹的毛利率优势很大程度来自于较少的折旧 金额。以折旧占收入的比重考虑,集中于 8 寸产线的世界先进、华虹在 10~20%之间, 而其他三家大多在 40%左右及以上。

从全球晶圆代工厂研发费用率上看,中芯国际作为二线厂商里唯一的先进制程追赶者, 在研发费用的投入比重上行业第一。2019 年,中芯国际的研发费用为 6.14 亿美元,占 收入比重为 19.7%。2019 年台积电研发投入为 29.59 亿美元。

战略选择是晶圆代工行 ROE 路径的重要影响因素。从 ROE 角度看,行业龙头台积电拥 有最高的 ROE,其次是专注于 8 寸片的世界先进和华虹半导体,再次才是联电和中芯国 际。我们认为,晶圆制造行业最高的回报率是通过获取领先技术获得,放弃制程追赶、 专注成熟产品也能够获得不错回报期,而制程追赶者在一定期间内会承受相对的财务压 力,但长期有望获取更强的竞争力。

投资建议

重点推荐【晶圆代工】:中芯国际、华虹半导体;【封测】:长电科技、华天科技、通富微 电、晶方科技

(报告来源:国盛证券)

获取报告请登陆未来智库www.vzkoo.com。

免责声明:自媒体综合提供的内容均源自自媒体,版权归原作者所有,转载请联系原作者并获许可。文章观点仅代表作者本人,不代表新浪立场。若内容涉及投资建议,仅供参考勿作为投资依据。投资有风险,入市需谨慎。

晶圆 代工

热门推荐

收起
新浪财经公众号
新浪财经公众号

24小时滚动播报最新的财经资讯和视频,更多粉丝福利扫描二维码关注(sinafinance)

7X24小时

  • 03-03 聚杰微纤 300819 15.07
  • 02-27 雪龙集团 603949 12.66
  • 02-26 瑞玛工业 002976 --
  • 02-19 建业股份 603948 14.25
  • 02-17 紫晶存储 688086 21.49
  • 股市直播

    • 图文直播间
    • 视频直播间