封装材料行业专题报告:覆铜板快速发展,关键原材料蕴藏发展机遇

封装材料行业专题报告:覆铜板快速发展,关键原材料蕴藏发展机遇
2024年08月29日 15:52 市场资讯

  炒股就看金麒麟分析师研报,权威,专业,及时,全面,助您挖掘潜力主题机会!

1 受益行业东风,封装材料市场持续扩容

1.1 下游应用发展迅速,封装行业前景广阔

封装是集成电路三大重要环节之一。集成电路产业链主要有 IC 设计、晶圆制造 (也称前道工艺)、封装测试(也称后道工艺)三大核心环节。其中,IC 封装是 对制成晶圆进行切割、划片、装片、焊线、键合、封装、电镀、成型等一系列工 序,将芯片封装在基板引线框架上并增加防护层。封装主要起到安放、固定、密 封、保护芯片,以及确保电路性能和散热性能等作用,随着芯片技术的发展,封 装也发挥着功能集成和系统测试的作用。

电子封装技术涵盖范围较广,可分为 0 级封装到 3 级封装四个不同等级。在整个 半导体封装工艺流程中,首先是 0 级封装,指晶圆切割出来的过程;其次是 1 级 封装,本质上是芯片级封装;接着是 2 级封装,指将芯片安装到模块或电路卡上; 最后是 3 级封装,指附带芯片和模块的电路卡安装到系统板上。在半导体行业, 半导体封装一般仅涉及晶圆切割和芯片级封装工艺。

在性能和成本控制的驱动下,经过多次演进迭代,封装技术从最初的键合式传统 封装向目前先进的多维高度集成封装发展。传统封装是将晶圆切割为晶粒后,把 晶粒贴合到相应的基板上,再利用导线将晶粒的接合焊盘与基板的引脚相连,实 现电气连接,最后用外壳加以保护,典型的传统封装方式包括最初的直插型封装 DIP、小外形封装 SOP、方型扁平式封装 QFP、球栅阵列封装 WBBGA 等。先进封装 提升了芯片的集成度和互联速度,以“小型化、轻薄化、窄间距、高集成度”为 主要特征,先进封装方式包括倒装 FLIP-CHIP、晶圆级封装 WLCSP、扇出型封装 INFO 以及 2.5D/3D 等。目前传统封装和先进封装两种技术之间不存在明确的替代 关系,根据产品工艺复杂程度、封装形式、封装技术、封装产品所用材料是否处 于行业前沿,传统封装具有性价比高、产品通用性强、使用成本低、应用领域广 等优点,而先进封装在当前摩尔定律发展受阻的背景下能够同时提高产品功能和 降低成本,是封测行业未来的发展方向。

随着汽车电子、人工智能、数据中心等应用领域的快速发展,带动全球封测市场 持续上行,2022 年全球封装测试市场规模为 815 亿美元左右,预计到 2026 年将 达到 961 亿美元。细分领域中,传统封装主要用于汽车、消费电子、工业应用等 领域,相关领域的模拟芯片、功率器件、分立器件、MCU 等核心芯片对于小型化 和高度集成化的要求较低,对可靠性和稳定性的要求较高,且在未来较长时间内 仍延续这一趋势,因此传统封装市场预计保持稳定增长,据 Yole 统计,2022 年 全球传统封装市场规模约为 430 亿美元,预计 2021-2026 年 CAGR 为 2.3%。先进 封装主要应用于高端消费电子、人工智能、数据中心等快速发展的应用领域,其 成长性优于传统封装,预计先进封装封测市场占比将持续提高,2021-2026 年全 球先进封装市场规模将从 350 亿美元上升至 482 亿美元,CAGR 接近 7%,有望为 全球封测市场贡献主要增量。

全球半导体产业链向国内转移,我国封测市场规模有望持续向上。2022 年我国封 测产业规模小幅增长,达到 2995 亿元,随着需求端 5G、HPC、汽车电子等新兴应 用的蓬勃发展,有望为封测行业持续成长注入动力,预计 2026 年中国封测市场 规模将达到 3248.4 亿元。此外,随着 5G、高端消费电子、人工智能等新应用发 展以及现有产品向 SiP、WLP 等先进封装技术转换,先进封装市场呈现较高速度 的增长;同时,国内封测企业主要投资集中在先进封装领域,有望带动产值快速 提升,预计 2023 年我国先进封装产值将达到 1330 亿元,约占总封装市场的 39%。

1.2 封装环节坚实支撑,封装材料需求不断增长

封装材料是封测环节的上游支撑,其使用贯穿于封测流程始终,可分为原材料和 辅助材料。其中,原材料是封装的组成部分,对产品质量和可靠性有着直接影响; 而辅助材料则不属于产品的构成部分,仅在封装过程中使用,使用后会被移除。 在传统封装工艺中,作为原材料使用的有机复合材料包括粘合剂、基板、环氧树 脂模塑料、引线框架、引线和锡球六种,后三种为金属材料;辅助材料包括胶带 和助焊剂等。具体来看:

(1)引线框架用于实现封装内部芯片与封装外部印刷电路板(PCB)的电气连接, 使用的金属板通常由铁基合金 42 号合金或铜合金制成。 (2)基板主要起到承载保护芯片与连接上层芯片和下层电路板的作用,包括制 作基础半导体器件所使用的铜、玻璃纤维等材料。 (3)粘合剂主要由热固性环氧基聚合物制成,用于将芯片粘接到引线框架或基 板上,还可以在芯片堆叠过程中将多个芯片粘接在一起。 (4)环氧树脂模塑料是半导体封装过程中使用的一种胶囊封装材料,由无机硅 石和热固性环氧聚合物复合而成,主要起到保护芯片免受外部物理和化学损伤, 且能够有效散发芯片运行时产生热量的功能。 (5)在半导体封装中,焊锡被用于连接封装和印刷电路板;在倒片封装中,焊锡 被用于连接芯片和基板。 (6)引线用于连接芯片与基板、芯片与引线框架、或芯片与芯片,通常由高纯度 金制成。但由于制造成本相对较高,目前,铜丝正在逐渐替代金丝。 (7)胶带包括将固体表面与同质或异质表面进行永久粘合的胶带,以及切割胶 带(确保在晶圆切割过程中晶圆上的芯片不会脱落)和背面研磨保护胶带(在背 面研磨过程中保护晶圆上的器件)等临时粘合胶带。

全球半导体封装材料产品结构多样,随着技术的不断进步和应用领域的不断拓展, 半导体封装材料的产品结构亦不断发生变化。目前全球封装材料诸多细分产品中, 封装基板规模占比最高,2021 年规模占比约为 40.1%,其次为键合丝、引线框架、 包装材料、陶瓷基板、芯片粘结材料等,规模占比分别为 15%、14.9%、12.9%、 11.1%及 4%。

随着新技术的不断发展和应用领域的不断推动,对封装材料产生了更先进、更多 样化的需求,牵引半导体封装材料市场规模不断扩容。全球来看,据国际半导体 产业协会,2022 年全球半导体封装材料市场实现 261 亿美元,受新型电子创新需 求增加的推动,预计到 2027 年,全球半导体封装材料市场将达到 298 亿美元, 复合年增长率为 2.7%。我国来看,随着半导体产业的快速发展,我国已经成为全 球最大的半导体封装材料市场之一,市场需求持续增长,据华经产业研究院,2022 年我国半导体封装材料行业市场规模达 463 亿元,预计我国半导体封装材料行业 将继续保持快速发展势头,以满足不断变化的市场需求。

竞争格局方面,大型跨国公司占据优势地位,国内未来有望实现自给。半导体封 装材料行业的技术水平要求较高,需要不断进行技术研发和创新。大型跨国公司 具有较强的技术实力和研发能力,能够持续推出新产品和新技术,保持领先地位。 目前全球半导体封装材料市场主要被日韩等大型跨国公司所占据;部分中国大陆 厂商近几年亦跻身前列,成功占据一定市场份额。整体来看,目前半导体封装材 料自给程度相对较高,未来有望实现国内自给。

2 信息产业飞速发展,覆铜板高频高速趋势显著

2.1 电子工业基石,PCB 行业市场空间广阔

印刷电路板(PCB)是电子工业的基石,在电子设备中扮演着至关重要的角色。 印刷电路板是指在通用基材上按预定设计形成点间连接及印制元件的印刷板,其 主要功能是为电路中各元器件提供机械支撑,同时将各元器件组按照特定电路进 行连接并传输电信号。PCB 的制造品质不仅直接影响电子信息产品的可靠性,且 影响电子元器件之间信号传输的完整性。

PCB 的种类繁多,可以根据不同的分类标准进行划分。(1)按照材质不同,PCB 可 分为有机材质板和无机材质板,陶瓷和铝材料构成的印刷电路板是主要无机板类 型,而有机板则以树脂为主。(2)从结构上来看,可分为刚性板、挠性板和刚挠 结合板,采用酚醛纸、环氧纸、聚酯玻璃毡等材料制成的印刷电路板为刚性板, 挠性电路板一般采用聚酰亚胺材料为基体。(3)按照层数来区分,又可分为单面 板、双面板和多层板,单面板是只有一面有覆铜层的单层板,双层板的两面都有 覆铜层用于布线的和安置元器件。(4)此外,根据不同的应用领域,PCB 也可以 分为民用印刷板、工业用印制板、军用印制板以及航空航天用印制板,其中民用 印制板发展最快,工业用印制板规模最大,军事用印制板对稳定性及一些特殊功 能要求更高。每种 PCB 都有其独特的应用场景和技术特点,为不同领域的电子产 品提供了稳定可靠的基础。

全球 PCB 产能转移叠加电子信息产业快速发展带动中国 PCB 产业新机遇。全球 PCB 产值整体呈现稳步上升趋势,随着 5G 通讯、消费电子以及汽车电子等下游增 长拉动,预计 2026 年全球 PCB 产值将从 2021 年的 705.10 亿美元增长至 2026 年 的 912.77 亿美元,CAGR 为 5.3%。此外,由于生产成本不断上升,全球 PCB 产能 逐步向中国转移,为国内 PCB 产业提供了巨大的市场空间和发展机会。同时,随 着大数据、云计算、5G 通信等新一代信息技术的发展,对服务器和数据存储的需 求呈高增长态势,电子信息产业的蓬勃发展助推 PCB 行业快速发展,汽车电子的 发展也为 PCB 产业带来了新的市场需求,预计中国大陆地区的 PCB 产值从 2021 年的 373.28 亿美元增长至 2026 年的 486.18 亿美元,CAGR 为 5.43%,彰显中国 PCB 产业的持续增长潜力及其在全球 PCB 产业中的重要地位。

全球印刷电路板行业集中度不高,中国 PCB 行业呈现“百家争鸣”局面。全球 PCB 行业分布地区主要为中国、日本、韩国和欧美地区,生产商众多,行业集中度 不高。随着近些年全球 PCB 产能转移,我国目前已成为全球 PCB 行业产量最大的 区域,国内 PCB 行业也逐步形成“百家争鸣”的局面。来自中国台湾、日本的厂 商在国内市场仍占领先地位,而中国大陆企业增长较快。据 Prismark、中国电子 电路行业协会和中国电子信息行业联合会,我国 PCB 行业依据企业可以分成 3 个 竞争梯队,第一梯队是 2021 年全球 PCB 百强企业 TOP10,如鹏鼎控股、欣兴集 团、东山精密、华通、日本 NOK 和深南电路等;第二梯队是 2021 年全球 PCB 百强 企业 TOP40 成员,如景旺电子胜宏科技、建滔集团、崇达技术等企业;第三梯队 是第二十一届(2021)中国电子电路行业 PCB 榜单企业,有生益电子、五株科技、 博敏电子中京电子澳弘电子等企业。总体来看,我国 PCB 企业发展迅速,行 业内百花齐放,在全球范围内整体竞争实力较强。

2.2 PCB 核心原料,产业升级催生覆铜板高频高速需求

覆铜板(CCL)是 PCB 的核心原料,在材料成本中占比 30%左右。覆铜板是一种由 木浆纸或玻纤布等充当增强材料,浸上树脂,再由单面或双面覆上铜箔后进行热 压制成的板状材料。在 PCB 产业链中,覆铜板处于中游位置,由上游铜锭(铜箔)、 木浆(纸)、玻纤纱(布)、合成树脂等基础原材料经一系列生产工艺制成覆铜板 后,利用油墨、蚀刻液等生产 PCB,最终应用于通讯设备、消费电子等众多领域。 覆铜板承担着 PCB 导电、绝缘、支撑的 3 大功能,对于电信号在传输过程中的能 量损耗和传输速度等有显著的影响,是生产 PCB 的重要基材,在 PCB 材料成本中 占比 30%左右。

受益于 PCB 行业发展,覆铜板应用领域广泛。普通覆铜板主要应用于家电、汽车 等终端设备;高端覆铜板根据终端应用对性能需求的不同,可以分为高频、高速 覆铜板和高密互联(HDI)用基板。此外,基于 HDI 相关技术,为适应电子技术高 精高密、小型化和轻薄化的特点,演进出了 IC 封装载板用覆铜板(即 IC 载板), IC 载板是对传统集成电路封装引线框架的升级,用于各类芯片封装环节,在一定 程度上代表当前 PCB 领域的最高技术水平。

随着电子信息产业飞速发展,数字电路逐渐步入信息处理高速化、信号传输高频 化阶段,整个电子系统朝向轻薄短小、多功能化、高密度化、高可靠性、低成本 化的方向发展,特别是在 5G、AI、云计算和大数据等领域的应用,对 PCB 的性能 提出了更高的要求。在此背景下,覆铜板的发展也呈现出高频高速及 HDI 基板、 IC 载板需求增加的趋势。 趋势一:具备低介电常数(Dk)、低介质损耗因数(Df)的高频高速覆铜板成为行业 发展主流。随着数字元器件的不断进步,作为电子元器件的重要组成部分,为电 子元件之间提供电气连接的印制电路板(PCB)不仅需要具有更高的集成度,还需 要具有更大的数据传输容量,PCB 基板材料具有低介电常数(Dk)、低介质损耗因 数(Df)性能的必要性日益凸显。高频高速基板材料主要解决普通覆铜板在通信中 微波以及毫米波等领域传输性能不稳定及损耗大的高频特性缺陷,目前已成为整 个行业发展的主流技术和趋势。

(1)高频覆铜板

高频覆铜板是具有低介电常数 Dk 和低介电损耗 Df(Df<0.005),工作频率在 5GHz 以上,能够应用于微波/毫米波领域的覆铜板。高频覆铜板是移动通信领域 5G 基 站建设的核心原材料,也是无人驾驶毫米波雷达、高精度卫星导航等所需的重要 新材料,更是通信装备、航天军工等产业的关键基础材料,与国民经济发展和国 家安全保障密切相关。由于介质损耗因数最小,行业门槛最高,高频覆铜板在覆 铜板行业中位于金字塔的顶端。 高频覆铜板存在较高的技术壁垒。高频 PCB 需要具备信号传输速度高、传输损失 小、介电性能优秀等特性,而在生产过程中,制造工序繁多,工艺十分复杂,特 别是高频覆铜板生产需要经过数百摄氏度的恒温热压机进行压合,高温会对 Dk 稳定性产生较大干扰,因此对加工技术水平要求极高。通常从树脂材料层面的优 化改良实现 Dk 的稳定性,因此材料的 know-how 是行业核心壁垒之一。

高频覆铜板目前仍由美、日厂商占据主流市场。目前全球高频覆铜板市场仍由美、 日厂商占据主流市场,代表厂商有罗杰斯、泰康利、ISOLA、松下等。受益于超前 布局,美、日厂商在下游市场成熟前数十年就开始对覆铜板的基础材料进行研究, 配方积淀深厚,掌握有通信及互联网数据中心(IDC)需求的高频覆铜板核心专 利,给后进者带来一定专利壁垒。目前,国内部分企业如生益科技华正新材等 也已开始高频覆铜板的研发和生产,在规模和技术方面已迎头赶上,未来国产替 代空间巨大。

(2)高速覆铜板

高速覆铜板是具有低传输信号损耗特性的高速数字线路板用板材产品,其工作频 率介于 1~5GHz 之间,具有高信号传输速度(10~50Gbps),且对于信号完整性要 求更高。高速覆铜板的终端产品领域主要是服务器、基地台等通讯设备,主要包 括高端服务器、高端路由器、转换器、高端数据存储设备等产品。

高速覆铜板具有高速传输性,高多层 PCB 加工性及环境友好性三大特性。其中, ①高速传输性表现为两“低”、两“高”,两“低”指的是低损耗,即实现基板 材料的 Low Df、Low Dk,及低传送信号的分散性,即减少偏置电路分布;两“高” 指的是高特性阻抗(Zo)精度以及实现高速覆铜板的高散热性。②高速覆铜板的 环境友好性需要实现板的无卤化及无铅兼容性,主要表现为高玻璃化温度、高热 分解温度及低热膨胀系数。③高多层加工性指高速覆铜板为达到高可靠性,需要 在性能上适应高多层(10~50 层)及薄形化 HDI 的加工性,主要表现为高尺寸稳 定性、高耐湿热性、高电路/导通孔的填充性,以及薄型化基板材料。相较高频覆 铜板,高速覆铜板更强调信号传送的高速性,因此更注重基板材料的低介质损失 性(即低 Df 性),并强调高多层板的加工性。

低传输损耗是高速覆铜板最重要、最核心的性能。高速覆铜板的低介质损失因子 (Df)是表征低传输损耗的首要关键指标,Df 主要影响到信号传送的品质,Df 越 小信号损耗也越小。一般按 Df 大小将高速基材划分为 5 个等级:常规损耗 (>0.010);中损耗(0.008~0.010);低损耗(0.005~0.008);极低损耗 (0.002~0.005);超低损耗(<0.002)。随着下一代 6G 天地互联及云计算通讯对 设备及互联提出更高的要求,高速覆铜板技术须向更低损耗 Df,更低介电常数 Dk 的技术的方向进一步发展。

高速覆铜板日本企业优势显著,国内企业亦占据一定份额。具体来看,日本松下 电工的高速覆铜板产品最为顶尖,占有率最高,且技术壁垒深厚;美国雅龙的 ADC 系列是基站天线用 PCB 基材的领导者;台光的 8 字头系列专注于环保基材;国 内厂商建韬集团、生益科技及金安国纪南亚新材分别凭借着成本低、耐热性、 低损耗占据一定的优势。

趋势二:终端应用轻薄短小化,叠加 5G 手机普及加强 HDI 成长动能;SLP 前景 逐渐明朗,IC 载板供不应求。 HDI 基板应用由少量高档次设备逐步推广至中端产品,未来使用量有望大幅提升。 HDI 主板分为一阶、二阶、三阶、任意阶 HDI 主板,特征尺寸逐渐缩小,制造难 度也逐渐增加。目前在电子终端产品,三阶、四阶或任意阶 HDI 主板应用较多。 2018年全球HDI产值高达92.22亿美元,其中消费电子移动手机终端占比为66%, 电脑 PC 为 14%,两者合计约为 80%,消费电子是 HDI 最大应用市场,电子设备的 日益小型化、消费者对智能设备的快速倾向、消费电子产品的显著增长等诸多因 素驱动 HDI 基板市场逐步增长。同时,随着通信制式升级为 5G,射频芯片、被动 元器件和 BTB 连接器等用量均将有所增加,拥有较多用户数量的多种中低端手机 厂商会采用增大主板面积、使用双层板结构或更高阶数的 HDI 基板等方式适应技 术迭代,进一步牵引 HDI 需求量的增加。 此外,在旗舰级移动设备小型化和功能多样化发展的趋势下,PCB 上需要搭载的 元器件不断增加但要求的尺寸不断缩小,致使 PCB 导线宽度、间距、微孔盘的直 径和孔中心距离,以及导体层和绝缘层的厚度都在不断下降,传统 HDI 受限于制 程难以满足要求,堆叠层数更多、线宽线距更小、可以承载更多功能模块的类载 板 SLP 性能优势显著。 另外,IC 载板在高端封装领域用于取代传统引线框,具备高密度、高精度、高脚 数、高性能、小型化及薄型化等特点。目前 IC 载板已经成为封装工艺价值量最 大的材料,据中国半导体协会封装分会的研究,中低端的引线键合类载板在其封 装总成本中占比约为 40%~50%,而高端倒装芯片类载板的成本占比则可高达 70%~80%。

3 覆铜板关键原料,树脂及硅微粉景气向好

覆铜板是对 PCB 性能影响极大的关键原材料,在覆铜板上蚀刻电路、添加电子元 器件后,集成电路的电流/电信号就以覆铜板作为基体传输运行。因此,覆铜板直 接影响集成电路的运行性能,在实际应用中对覆铜板的相关原材料均有较高电性 能要求。覆铜板主要上游原材料包括树脂、无机功能材料、铜箔及玻璃纤维布等, 其中铜箔、树脂、玻纤布占覆铜板生产成本的比重分别为 42%、26%及 19%左右。

3.1 树脂

覆铜板的介电性能由增强材料和基体树脂两部分的介电性能共同决定,在使用相 同的玻纤布等增强材料时,基体树脂的介电常数和介电损耗将直接决定覆铜板的 介电性能。根据信号传输损耗的要求,覆铜板可以分为标准损耗、中等损耗、低 损耗、极低损耗和超低损耗五个等级。目前,覆铜板最常用的主体树脂主要有环 氧树脂(EP)、酚醛树脂、聚四氟乙烯树脂(PTFE)、聚酰亚胺树脂(PI)、双马来 酰亚胺树脂(BMI)、聚酯树脂(PET)、聚苯醚树脂(PPO 或 PPE)、氰酸酯树脂(CE) 等。其中,酚醛树脂多用于纸基板,聚酯树脂以及聚酰亚胺树脂多用于挠性板。 而改性环氧树脂、BMI、PPO、PPE、CE、PTFE 以及部分 PI 树脂制成的玻纤布基覆 铜板由于性能较高,多用于玻纤布基高性能树脂型覆铜板。

3.1.1 环氧树脂

环氧树脂由于性能优异,在 CCL 领域得到广泛应用。环氧树脂是一种以脂肪族、 芳香族或者脂环族为主链的一种高分子聚合物,它的主链上含有两个及以上的环 氧基团。环氧树脂具有优异的力学性能、绝缘性、电性能、化学稳定性、尺寸稳 定性、收缩率低、粘着力强等优点,因此被广泛的应用于涂料、电子电器、胶黏剂、航空航天、CCL 板制造等领域,尤其近年来 CCL 板市场对环氧树脂的需求量 大大增加。 为满足更高性能 CCL 板要求,需要对环氧树脂进行改性。CCL 板环氧树脂具有交 联密度高、介电常数较高、耐湿热性较差等缺陷,而 CCL 板的制备对环氧树脂的 性能具有很高要求,因此需要对环氧树脂进行改性。目前主要是通过和其它树脂 进行共混来对环氧树脂进行改性。双环戊二烯环氧树脂(DCPD 环氧树脂)是将双 环戊二烯骨架与环氧结合,具有优异的低吸湿性、热稳定性、较低的介电性能和 较高的 Tg,目前已成为中低损耗覆铜板所使用最具前景的环氧树脂。

3.1.2 苯并噁嗪树脂

苯并噁嗪树脂广泛应用于 M2 及 M4 等级别的覆铜板板材。苯并噁嗪树脂是以酚类 化合物、伯胺类化合物和甲醛为原料合成的含碳、氮、氧元素的六元杂环结构的 化合物,在加热或催化条件下,其开环聚合生成含有氮、氧类似于酚醛树脂的网 状结构。苯并噁嗪树脂因其具有较高的耐热性、较好的阻燃性、较低的吸水率、 较优的介电性能以及固化时无小分子放出、体积近乎零收缩等性能逐渐受到覆铜 板行业的青睐,自上世纪 90 年代在国内覆铜板领域成功应用以来,被广泛应用 于 M2 及 M4 等级别的覆铜板板材中。此外,苯并噁嗪树脂还具有较好的分子设计 性,产品类型已由基础的苯酚-苯胺型、双酚 A-苯胺型、二氨基二苯甲烷-苯酚型 等开发衍生出近百类型。

苯并噁嗪树脂改性方法多样,改性后在高速高频领域具有较大应用需求。为使介 电性能更加优异,会对苯并噁嗪树脂进行改性,目前常见的改性方法包括六种: 第一种是引入氟元素;第二种是增加自由体积;第三种是合成含低介电基团的苯 并噁嗪单体;第四种是共聚合苯并噁嗪树脂与其他低介电树脂;第五种是引入微 纳孔洞结构;第六种是制备主链型苯并噁嗪共聚物齐聚物。目前以改性苯并噁嗪 为基体树脂的高 Tg、无铅兼容 FR-4 覆铜板,无铅兼容无卤阻燃覆铜板,高 Tg、无卤阻燃覆铜板等产品已进入大批量生产和投产应用阶段,在未来高速高频电子 材料领域有较为广阔的应用空间。

3.1.3 双马来酰亚胺树脂

双马来酰亚胺树脂是目前制备高性能 CCL 板基板材料的重要树脂品种之一。双马 来酰亚胺树脂是以马来酰亚胺基团为活性端基的双官能团聚合物,是从聚酰亚胺 树脂体系分离出来的一类树脂体系。双马来酰亚胺树脂具有良好的热稳定性、耐 辐射性、抗腐蚀性和耐水性等,并且成本较低、固化工艺简单,已成为当前制备 高性能 CCL 板基板材料极具竞争力的树脂品种之一。

在实际应用中,双马来酰亚胺树脂的固化产物脆性较大,无法满足覆铜板基体树 脂的使用要求,因此需要对双马来酰亚胺树脂进行改性。在不改变双马来酰亚胺 树脂原来优异性能的前提下,通过改性来增强双马来酰亚胺树脂的韧性,提高其 韧性的改性方法主要有共混增韧和共聚增韧两种。

3.1.4 聚苯醚树脂

PPO 是高性能 CCL 板理想基体材料之一。PPO 是 21 世纪 60 年代发展起来的一种 非结晶性的热塑性塑料,于 1956 年由美国 General Electric Company 的 A.S.Hey 研制成功,在 1964 年实现工业化。PPO 电绝缘性和耐水性优异、尺寸稳定性好、 电性能和耐磨性较好,在覆铜板行业中有着广泛应用,已成为高性能 CCL 板的理 想基体材料之一。

目前 CCL 板常用的 PPO 树脂是甲基丙烯酸酯端基 PPO。甲基丙烯酸酯端基 PPO 介 电损耗因子(Df)很低,在 10GHz 的条件下,其 Df 为 0.003 左右。在树脂体系 中,甲基丙烯酸酯端基 PPO 作为主体树脂和其它含有双键的树脂组分进行搭配来 平衡优化配方,整个体系通过自由基聚合来完成固化。目前双端羟基 PPO 树脂和 双端丙烯酸酯基 PPO 树脂主要由沙特基础工业公司(SABIC)和日本旭化成株式 会社(Asahi Kasei)供应。

3.1.5 碳氢树脂

碳氢树脂加工性能突出,在高频高速领域发展前景可期。碳氢树脂是不含任何极 性基团的碳链聚合物,仅由 C 和 H 元素组成。由于 C-C 键和 C-H 键的电子极化率 小,碳氢树脂在较宽的频率和温度范围内表现出较低的介电常数和超低的介质损 耗因数。同时碳氢树脂具有优异的加工性能,相对于其他高频覆铜板树脂材料, 其成型工艺简单、成本低,被认为是下一代高频覆铜板的首选树脂材料,被誉为 最有发展前景的高频高速覆铜板材料。碳氢树脂类覆铜板主要由碳氢树脂与低介 电陶瓷粉复合制备胶液,然后浸渍玻璃纤维布制备半固化片压制而成。覆铜板常 用的碳氢树脂体系有聚丁二烯体系、聚丁苯(SB、SBS)共聚体系、环烯共聚物 (COC、DCPD)体系、SI 和 SIS 共聚体系、三元乙丙共聚体系、PPO 改性聚丁苯体 系、PPO 改性 SI 及 SIS 共聚体系等。 国内制造的高端 PCB 大多数采用国外公司所研发制造的高性能碳氢基材。目前碳 氢树脂被美国 Sartomer 公司和 Kraton Polymers 公司、日本 Nippon Soda 公司 和 Asahi-Kase 公司、德国 TOPAS 公司等公司所垄断,国内整体的研究及开发水 平与国外还存在一定差距。国外以美国 Rogers 研发的碳氢树脂覆铜板性能更为 卓越,其基材主要有碳氢树脂填充陶瓷类、碳氢树脂填充陶瓷加玻璃布类等,其 中 RO4725JXRTM 产品在 10GHz 时 Dk 为 2.64,Df 为 0.0026,属于碳氢树脂加陶瓷 和玻璃布类。而国内只有少数商品化的基材,如生益科技研发的射频和微波电路 基材 S7136H 产品,在 10GHz 时的 Dk 为 3.42,Df 为 0.0030,属于碳氢树脂加陶 瓷和玻璃布类。

3.2 无机功能材料

覆铜板用无机功能材料(填料)包括硅微粉、氮化硼、氧化铝以及二氧化钛等种 类,其中硅微粉是应用最为广泛的一类粉体材料。填料是通过自身的物理特性或 表面相互作用,来改变材料的物理和化学性质。在覆铜板行业使用填料可以提高 覆铜板的性能,如热膨胀系数的降低、阻燃性的提高、导热系数及板材力学性能 的提高等。常规覆铜板在传统环氧树脂等有机高分子材料中一般选用添加较初级 的硅类微粉材料。随着覆铜板行业逐步向高频高速和轻薄小型化方向发展,对介 电性、介质损耗有一定指标要求的覆铜板会选用粒度、纯度和粒径范围符合要求且经表面改性的硅微粉,高频高速、HDI 基板等较高技术等级的覆铜板一般都采 用经改性后的高性能球形硅微粉(通常为中位粒径 3μm 以下,经表面改性后的 粉体)。

在实际应用中,由于制备原理路径的不同,球形硅微粉的基础性能也有较大差异。 目前市场中能够达到量产条件的球形硅微粉主要有三种技术路径,即火焰熔融球 形硅微粉,直燃/VMC 法球形硅微粉和化学合成球形硅微粉,性能如粒径、球化率 等和单价依次上升。在高速覆铜板中,火焰熔融法球形硅由于制备工艺导致的比 表面积等指标限制,无法完全满足 M6 级以上高速覆铜板的性能需求,一般还会 选择添加直燃法/VMC原理或化学合成法制备的球形硅;类载板SLP和IC载板中, 由于技术指标要求更高,一般选用纯度、球形度接近 100%的化学合成法球形硅。 日系企业长期以来占据球形硅微粉市场的技术主导地位,近年来日厂商逐渐调整 产品重心,收缩火焰熔融法球形硅产能和研发投入,将产能和研发重心聚焦于 VMC 法等球形硅上,目前火焰法球形硅微粉市场主要由国内厂商占据,而化学合成法 球形硅由于既有合成路径及后端加工技术水平的限制,业内仅有少数厂商能够在 较高水平下稳定保证颗粒分散度、球化率和表面光滑程度等技术指标。

随着人工智能、芯片、5G 等高新技术快速发展,覆铜板作为半导体制造的核心材 料,产量呈快速增长趋势,驱动原材料硅微粉市场需求不断增加。2021 年我国硅 微粉市场规模达 24.6 亿元,同比增长 17.9%,随着生产技术不断突破,预计硅微 粉市场将继续保持增长趋势,到 2025 年将突破 55.0 亿元。此外,近年来随着下 游终端设备的性能升级,高性能球形硅微粉所占比例逐年扩大,据前瞻产业研究 院,到 2023 年,应用于覆铜板领域的各类硅微粉中,高性能球形硅微粉的占比 接近 47%,预计 2027 年占比有望超过 56%。

4 重点公司分析

4.1 圣泉集团

公司是合成树脂龙头企业,四大业务协同发力。公司成立于 1979 年,前身为刁 镇糠醛厂,于 2021 年在上交所上市。公司现已形成化学新材料和生物质新材料 及新能源两大核心业务,产品覆盖高性能树脂及复合材料、铸造材料、电子化学 品及生物质行业四大领域,其中①高性能树脂及复合材料是公司主导产业,酚醛 树脂目前产能达到 64.86 万吨/年,产能规模和技术水平位居世界前列,在摩擦 材料、页岩气覆膜支撑剂、磨料磨具、耐火材料、新型节能阻燃建材、表层涂料、 模塑料、轮胎橡胶等多用途酚醛树脂产品,拥有 10 大系列 800 多个品种;②铸 造材料是公司传统支柱产业,公司铸造用呋喃树脂产销规模位居世界第一,以呋 喃树脂、冷芯盒树脂、热芯盒树脂、涂料、固化剂、陶瓷过滤器、发热保温冒口、 熔炼材料等为代表的铸造辅助材料产品达一百多种,广泛应用于汽车、轮船、飞 机、风电、通用机械、精密仪器等产品铸件和高档精密出口铸件生产;③电子化 学品是公司未来增长引擎,公司自 2005 年开始进入电子化学品领域,经过多年 的精耕细作,已实现电子级酚醛树脂、特种环氧树脂的国产化替代,市场份额逐 年增加;④公司自 1979 年建厂就涉足生物质产业,研发的“圣泉法”生物质精炼 一体化技术入选国家发改委《绿色技术推广目录》(2020 年)。目前全球首个百万 吨级“圣泉法”植物秸秆精炼一体化项目(一期),在黑龙江大庆市全面投产,每年 预计可加工秸秆 50 万吨,生产生物质树脂炭、硬碳负极材料、高活性木质素、糠 醛、纸浆、生物甲醇、可降解材料等系列绿色生物基产品。

业绩整体呈现增长趋势,电子化学品持续放量。2017-2023 年公司营收从 50.35 亿元增长至91.20 亿元,CAGR 为 10.41%,归母净利润从 4.77 亿元增长至7.89 亿元,CAGR 为 8.75%。2020 年由于卫生防护用品业务收入及利润大幅增加,公司 当年营收及净利润分别同比增加 41.43%及 86.20%,若剔除该业务,公司其他主 营业务 2020 年实现营收 59.39 亿元,同比增幅为 2.15%;2021 年卫生防护用品 业务实现收入 2.72 亿元,若剔除该业务,其他主营业务实现收入 84.15 亿元, 同比增幅达 41.68%,各业务板块均实现较大增长。在细分业务板块中,酚醛树脂 和呋喃树脂是公司传统支柱产业,近年来二者营收占比合计超过 50%,贡献公司 主要收入及利润;受益于下游国产化,市场对电子产品的需求出现大幅增长,公 司电子化学品产业获得快速发展,应用领域逐步拓展到集成电路、液晶显示器、 芯片制造、5G 通讯等领域,营收占比增长至 2023 年 12.98%;生物质业务方面, 大庆生产基地“100 万吨/年生物质精炼一体化(一期工程)项目”生产线已于 23 年 5 月份正式全面投产,成功实现了从“工艺”到“产业”的升级跨越。

电子树脂多点开花,打开成长新空间。公司生产的电子级树脂具有高绝缘可靠性、 高耐热性、低介电常数、低介质损耗、低热膨胀系数等特性,应用于印制线路板 上的覆铜板基材、器件封装模塑料、线路板油墨等方面,可广泛应用于 5G/6G 通 讯、汽车电子、消费电子等领域。目前公司电子化学产品细分包括电子级酚醛树 脂、特种环氧树脂、苯并噁嗪、双马来酰亚胺树脂等功能型高分子材料,其中苯 酚联苯环氧、结晶型环氧、DCPD 环氧树脂等特种环氧树脂相继落地并商业化销售, 完成进口产品的国产化替代;电子级酚醛树脂继续保持优势地位,并加大新产品 的开发和应用,持续提升市场占有率及销量;新型马来酰亚胺树脂领域取得显著 进展,实现批量供货,目前已经启动 1000 吨/年马来酰亚胺树脂项目;高频高速 用碳氢树脂目前在多个产品领域取得显著进展并启动 2000 吨/年碳氢树脂项目; M6/M7/M8 级别 5G 特种电子树脂(聚苯醚 PPE/PPO/MPPO)获国内外终端客户和产 业链认证,年产 1000 吨官能化聚苯醚项目将于 2024 年 2 季度投产,聚苯醚未来 有望成为公司电子化学品产业主力产品之一。随着全球智算、高性能计算、物联 网/车联网等市场的发展,配套的高端电子化学品需求保持持续增长,叠加国产 替代空间广阔,有望驱动公司电子材料业务快速成长。

4.2 东材科技

绝缘膜材料领先企业,多板块布局驱动长期成长。东材科技成立于 1994 年,于 2011 年在上海主板上市。公司主要从事化工新材料的研发、制造和销售,以新型 绝缘材料为基础,重点发展光学膜材料、电子材料、环保阻燃材料等系列产品, 目前产品可广泛应用于发电设备、特高压输变电、智能电网、新能源汽车、轨道 交通、消费电子、光电显示、电工电器、通信网络等领域。

营收保持增长趋势,电子树脂及光学膜贡献持续增加。2017-2022 年公司营收从 17.34 亿元增长至36.40 亿元,CAGR 为 15.99%,归母净利润从 0.99 亿元增长至4.15 亿元,CAGR 为 33.19%。其中,2021 年受益于国产替代加速、公司积极调整 产品结构,光学膜及电子材料产品销量大幅增加驱动营收同比提升 71.93%,归母 净利润同比提升 94.86%。2023 年公司新能源材料、光学膜材料、电子材料产品 销量仍保持增长趋势,营收同比增长 2.66%,但由于大宗商品价格进入下行周期, 公司产品价格整体承压,致使归母净利润同比下滑 20.72%。在细分业务板块中, 绝缘材料一直是公司的传统主业(2022 年公司调整业务分类方式,绝缘材料中的 功能薄膜归为新能源材料),同时公司积极调整产品结构,不断提升差异化产品 的销售占比,光学膜产品营收占比由 2017 年的 15.44%提升至 2023 年的 25.74%, 电子材料产品由 2018 年的 1.34%提升至 2023 年的 22.02%,光学膜及电子材料产 品正逐步成为公司新的业绩增长极。

电子树脂性能优异,新产能释放未来可期。公司应用于电子技术、微电子技术领 域的主要产品为电子级树脂材料,是制造印制电路板(PCB)的上游核心材料。公 司生产的电子级树脂材料具有高玻璃化转变温度、低介电常数、低介质损耗、低 膨胀系数等特性,能够满足信号传输高频化、信息处理高速化的性能需求,是制 作高性能覆铜板的三大主材之一,可广泛应用于新一代服务器、汽车电子、通讯 网络等诸多领域。公司先后投资建设“年产6万吨特种环氧树脂及中间体项目”、 “年产 5200 吨高频高速印制电路板用特种树脂材料产业化项目”、“年产 16 万 吨高性能树脂及甲醛项目”,自主研发出碳氢树脂、马来酰亚胺树脂、活性酯树 脂、苯并噁嗪树脂、特种环氧树脂等电子级树脂材料,与多家全球知名的覆铜板 制造商建立了稳定的供货关系。随着相关项目逐步建成投产,电子树脂新产能释 放有望为公司带来较大增量空间。

4.3 德邦科技

高端电子封装材料小巨人,多领域布局成长性突出。公司是一家专业从事高端电 子封装材料研发及产业化的国家级专精特新重点“小巨人”企业,成立于2003年, 2022 年 9 月于科创板上市。自成立以来,公司以集成电路封装材料技术为引领, 并逐步延伸至智能终端封装材料、新能源应用材料、高端装备应用材料等领域,目前已形成四大产品类别。公司具体产品形态为电子级粘合剂和功能性薄膜材料, 可实现结构粘接、导电、导热、绝缘、保护、电磁屏蔽等复合功能,在晶圆加工、 芯片级封装、功率器件封装、板级封装、模组及系统集成封装等不同封装工艺环 节和应用场景均有应用,现已广泛用于半导体、消费电子、动力电池、光伏等新 兴行业领域。

业绩稳步增长,新能源材料贡献主要收入。2018-2023 年公司营收从 1.97 亿元增 长至 9.32 亿元,CAGR 为 36.46%,归母净利润从-0.02 亿元扭亏为盈增长至 1.03 亿元。其中,2022 年公司实现营收 9.29 亿元,同比大幅增长 59%,主要系公司 抓住动力电池行业高速增长机遇,及时扩充产能,新能源应用材料收入同比增长 121%至 5.9 亿元;2023 年,公司实现收入为 9.32 亿元,同比增长 0.32%,实现 归母净利润 1.03 亿元,同比下滑 16.26%,与部分产品结构受市场竞争、产业链 成本压力传导等因素影响发生变动有关,但公司收入整体呈现稳中有升,且公司 积极拓展新的客户、新的应用点,业绩有望恢复增长趋势。在细分业务板块中, 新能源应用材料目前是公司主要收入来源,近两年营收占比均在 60%以上;智能 终端封装材料领域受下游消费领域需求低迷影响营收占比有所下滑,目前在 20% 左右;集成电路封装材料领域在去库存、下游稼动率缓慢恢复的背景下营收占比 稳定在 10%左右。

集成电路新品加速导入,多产品共振驱动业绩增长。公司致力于为集成电路封装 提供晶圆固定、导电、导热、保护及提高芯片使用可靠性的综合性产品解决方案, 目前集成电路封装材料已形成了 UV 膜系列、固晶系列、导热系列、底部填充胶 系列、Lid 框粘接材料等多品种、多系列的胶与膜产品,并供给华天科技、通富 微电、长电科技、日月新等全球知名封测厂商,优质客户资源为公司发展提供强 力支撑。随着半导体制造工艺制程接近物理极限,先进封装技术的应用促进先进 封装材料需求提升,公司在此行业爆发及国产替代机遇下,通过不断加大研发投 入,补充、丰富产品型号,各系列产品处于测试、验证、小批量等不同阶段,有 望为公司带来新的增量。

4.4 联瑞新材

国内硅微粉龙头企业,电子粉体应用多元。公司成立于 2002 年,前身为东海硅 微粉,2019 年 10 月在科创板上市,公司自成立以来一直致力于无机填料和颗粒 载体行业产品的研发、制造和销售,是国内规模领先的硅微粉生产高新技术企业。 目前公司主要产品有利用先进研磨技术加工的微米级、亚微米级角形粉体;火焰 熔融法加工的微米级球形无机粉体;高温氧化法和液相法加工的亚微米级球形粒 子;经过表面处理的各种超微粒子、多种方法制造的功能性颗粒以及为解决粒子 分散开发的浆料产品。公司产品可广泛应用于电子电路用覆铜板、芯片封装用环 氧塑封料以及电工绝缘材料、胶粘剂、陶瓷、涂料等领域,终端应用于消费电子、 汽车工业、航空航天、风力发电、国防军工等行业。

营收稳健提升,球形硅微粉是主要收入来源。2017-2022 年公司营收从 2.11 亿元 增长至 6.62 亿元,CAGR 为 25.69%,归母净利润从 0.42 亿元增长至1.88 亿元, CAGR 为 34.95%,主要系公司坚持以市场需求为导向,市场占有率不断提升。2023 年,公司实现收入为 7.12 亿元,同比增长 7.55%,实现归母净利润 1.74 亿元, 同比略下滑 7.45%,主要与研发费用增加、汇兑收益减少、折旧费用增加等因素 有关,扣非后归母净利润为 1.5 亿元,仍同比增长 0.21%。在细分业务板块中, 球形硅微粉逐步成为公司主要收入来源,营收占比从 2017 年的 20%增长至 2023 年的超过 50%;2023 年角型硅微粉营收占比为 30%左右,为公司另一主要收益来 源。

核心技术自主可控,产品优质客户资源丰富。公司自主创新并掌握了先进功能性 无机非金属粉体材料的原料设计、颗粒设计、复合掺杂、高温球化、颗粒分散、 液相合成、燃烧合成、晶相调控、表面修饰以及模拟仿真等核心技术,成功突破 利用火焰法高温制备电子级球形硅微粉的防粘壁、防积炭、防粘聚、粒度调控等 关键工艺技术,产品的球形度、球化率、磁性异物等关键指标达到国际领先水平, 打破了日本等国家对电子级球形硅微粉产品的垄断,实现了同类产品的进口替代。 由于品质优异,公司产品深受客户的认可,销售市场遍布中国大陆、中国台湾、 日本、韩国和东南亚等国家和地区。公司已同世界级半导体塑封料厂商住友电工、 日立化成、松下电工、KCC 集团、华威电子,全球前十大覆铜板企业建滔集团、 生益科技、南亚集团、联茂集团、金安国纪、台燿科技、韩国斗山集团等企业建 立了合作关系,并成为该等企业的合格材料供应商。

(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)

(转自:未来智库)

海量资讯、精准解读,尽在新浪财经APP
芯片 原材料 晶圆 基板 半导体

VIP课程推荐

加载中...

APP专享直播

1/10

热门推荐

收起
新浪财经公众号
新浪财经公众号

24小时滚动播报最新的财经资讯和视频,更多粉丝福利扫描二维码关注(sinafinance)

股市直播

  • 图文直播间
  • 视频直播间

7X24小时

  • 09-06 众鑫股份 603091 --
  • 09-02 慧翰股份 301600 39.84
  • 08-26 富特科技 301607 14
  • 08-23 速达股份 001277 32
  • 08-23 益诺思 688710 19.06
  • 新浪首页 语音播报 相关新闻 返回顶部