外媒列出等待iPhone 17的10个理由!iPhone 16:??

外媒列出等待iPhone 17的10个理由!iPhone 16:??
2024年07月15日 16:08 CNMO

外媒列出等待iPhone 17的10个理由!iPhone 16:??

  【CNMO科技】对于像iPhone这样的重量级产品,苹果通常会提前几年就开始规划。一般,苹果会与供应商同时合作开发几款连续的iPhone型号,这就是为什么我们有时候能在距离发布很早之前就能听到有关功能的传闻。iPhone 17系列也不例外,现在我们已经对苹果2025年的智能手机阵容有了一定的了解。

外媒列出等待iPhone17的10个理由

  以下是关于iPhone 17系列功能的10个传闻,该系列手机预计将于2025年9月发布。

1、屏下Face ID

iPhone 17 Pro和iPhone 17 Pro Max

  iPhone 17 Pro预计将成为首款采用屏下Face ID技术的iPhone。屏下的Face ID技术仍然伴随着前置摄像头的圆形切口。这样的设计预计将持续到2027年的“Pro”版iPhone机型,该机型也将把摄像头移到显示屏下,以实现真正的“全屏”外观。

  这一预测与显示屏分析师Ross Young在2022年5月分享的最初路线图不同。此前,他预计2024年的iPhone 16 Pro机型将是第一个采用屏下Face ID技术的机型。但此前,Young表示,这一年的延迟是由于“传感器”问题。

2、新的显示屏尺寸

iPhone 17和iPhone 17 Plus

  据传,今年的iPhone 16 Pro和iPhone 16 Pro Max的显示屏尺寸将更大,分别从6.12英寸和6.69英寸增加到6.27英寸和6.86英寸。到2025年,苹果预计还将为其标准版iPhone机型配备更大的6.27英寸显示屏,而同等的“iPhone 17 Plus”机型可能会采用全新的显示屏尺寸。

3、120Hz ProMotion常亮显示屏

iPhone 17和iPhone 17 Plus

  苹果计划在2025年将ProMotion扩展到其标准机型,使其能够将刷新率提高到120Hz,以便在必要时实现更流畅的滚动和显示视频内容。值得注意的是,ProMotion还将使iPhone 17和iPhone 17 Plus上的显示屏刷新率降至1Hz以下,更加省电,从而实现常亮显示屏,即使设备处于锁定状态,也可以显示锁定屏幕的时钟、小部件、通知和壁纸。

  据悉,明年的iPhone 17和iPhone 17 Plus将采用低功耗背板技术的OLED显示屏,首次将ProMotion技术引入苹果的标准iPhone机型。作为参考,目前的iPhone 15和iPhone 15 Plus使用的是低温多晶硅 (LTPS) 面板,而iPhone 15 Pro机型使用的是更先进的低温多晶氧化物 (LPTO) 面板。LPTO面板支持ProMotion。

  预计苹果将在今年的iPhone 16和iPhone 16 Plus中保留使用不那么先进的LPTS 面板,以保持标准机型和Pro机型之间的差异化。

4、苹果设计的WiFi 7芯片

iPhone 17 Pro和iPhone 17 Pro Max

  预计苹果2025年的高端机型将首次配备由苹果设计的WiFi 7芯片。将允许“Pro”机型通过受支持的路由器同时通过2.4GHz、5GHz和6GHz频段发送和接收数据,从而实现更快的WiFi速度、更低的延迟和更可靠的连接。WiFi芯片还将使苹果进一步减少对外部供应商的依赖,例如博通,后者目前为苹果提供用于iPhone的WiFi和蓝牙组合芯片。

5、4800万像素长焦镜头

iPhone 17 Pro Max

  明年的iPhone 17 Pro Max将配备升级版的4800万像素长焦摄像头,以提高照片质量和变焦功能,预计将针对Apple Vision Pro进行优化。作为参考,目前的iPhone 15 Pro机型采用了4800万像素主镜头、1200万像素超广角镜头和1200万像素长焦镜头。这将使得iPhone 17 Pro Max成为首款后置摄像头系统完全由4800万像素镜头组成的iPhone机型,能够捕捉更多的照片细节。

  预计今年的iPhone 16 Pro和iPhone 16 Pro Max都将使用1/3.1英寸1200万像素四棱镜长焦镜头,至少支持5倍光学变焦和25倍数码变焦。

6、2400万像素自拍镜头

所有iPhone 17机型

  据传言,iPhone 17系列将配备2400万像素前置摄像头和六元件镜头。相比之下,iPhone 14和15配备了1200万像素前置摄像头和五个塑料镜头元件,今年的iPhone 16系列预计将配备相同的硬件。而iPhone 17的分辨率将升级到2400万像素,即使裁剪或放大照片也能保持其质量,像素数增加意味着将捕捉到更精细的细节。升级到六元件镜头也应该会略微提高图像质量。

7、防刮防反射显示屏

所有iPhone 17机型

  传言显示, iPhone 17将采用防反射显示屏,比iPhone 15机型上的Apple陶瓷护盾更耐刮擦。据说iPhone 17的外层玻璃有一层“超硬防反射层”,“更耐刮擦”。目前尚不清楚苹果是否计划采用三星在其S24 Ultra中使用的大猩猩玻璃,但康宁最新的技术与传言相符。

8、更多内存

iPhone 17 Pro和iPhone 17 Pro Max

  海通国际证券分析师Jeff Pu表示,苹果明年的Pro机型都将配备12GB RAM。相比之下,iPhone 15 Pro机型配备了8GB RAM,而iPhone 16 Pro机型预计也将配备8GB RAM。此类增加将改善iPhone的多任务处理能力,并为任何需要大型语言模型驻留在内存中的人工智能功能提供额外资源。

9、更小的灵动岛

iPhone 17 Pro Max

  Jeff Pu还爆料称,2025年苹果最高端的iPhone将采用明显更窄的灵动岛,这要归功于该设备为 Face ID系统采用了更小的“金属镜头” 。假设情况确实如此,这将是苹果自2022年iPhone 14 Pro首次推出灵动岛以来首次改变灵动岛设计。

10、iPhone 17 “Slim”

  据The Information报道,苹果计划推出一款全新的iPhone 17机型,其设计“明显更薄”。据称,该设备将进行“重大重新设计”,后置摄像头可能会从设备的左上角移到顶部中央,同时灵动岛也会变窄。

  据传,该设备的显示屏尺寸为6.5英寸,可能会取代iPhone 17 Plus机型。其他潜在功能包括铝制机身、A19芯片和改进的前置摄像头。

版权所有,未经许可不得转载

(本文来自于手机中国)

新浪科技公众号
新浪科技公众号

“掌”握科技鲜闻 (微信搜索techsina或扫描左侧二维码关注)

创事记

科学探索

科学大家

苹果汇

众测

专题

官方微博

新浪科技 新浪数码 新浪手机 科学探索 苹果汇 新浪众测

公众号

新浪科技

新浪科技为你带来最新鲜的科技资讯

苹果汇

苹果汇为你带来最新鲜的苹果产品新闻

新浪众测

新酷产品第一时间免费试玩

新浪探索

提供最新的科学家新闻,精彩的震撼图片