用钱堆出来的七大FinFET工艺公司,中芯国际(00981)能靠什么胜出?

用钱堆出来的七大FinFET工艺公司,中芯国际(00981)能靠什么胜出?
2020年02月25日 21:52 智通财经网

如何在结构性行情中开展投资布局?新浪财经《基金直播间》,邀请基金经理在线路演解读市场。

本文来自 芯思想。

目前,全球FinFET(立体)工艺已迈入5纳米制程,FD-SOI(平面)工艺也迈进了12纳米进程。但英特尔、台积电、三星都在准备3纳米甚至2纳米工艺。据悉针对下一个节点3纳米,正在开发一种全新设计的晶体管(GAA-FET,gate-all-around Field-Effect Transistor),和目前使用的FinFET又不一样。

今天我们就来谈谈FinFET。

FinFET工艺的概念

FinFET称为鳍式场效应晶体管(Fin Field-Effect Transistor),是由美籍华人科学家胡正明(Chenming Hu)教授在1999年提出来的。其中的Fin在构造上与鱼鳍非常相似,所以称为「鳍式」,FET的全名是「场效电晶体」。当时胡正明教授在加州大学领导一个由美国国防部高级研究计划局(DARPA)出资赞助的研究小组,当时他们的研究目标是CMOS技术如何拓展到25nm领域。当时的研究结果显示有两种途径可以实现这种目的:一是立体型结构的FinFET,另外一种是基于SOI的超薄绝缘层上硅体技术(UTB-SOI,也就是现在常说的FD-SOI技术)。

FinFET是一种新的互补式金属氧半导体(CMOS)晶体管,源自于传统标准的「场效晶体管」的一项创新设计。

传统MOSFET结构是平面的,只能在闸门的一侧控制电路的接通与断开。但是在FinFET架构中,栅门(Gate)被设计成类似鱼鳍的叉状3D架构,可于电路的两侧控制电路的接通与断开。这种叉状3D架构不仅能改善电路控制和减少漏电流(leakage),同时让晶体管的栅长大幅度缩减。目前,英特尔的14纳米工艺中晶体管的栅长已经缩短至20纳米,三星的5纳米工艺中已经缩短至10纳米,未来还有可能缩短至7纳米,约是人类头发宽度的万分之一。

FinFET工艺的进展

最早使用FinFET工艺的是英特尔,他们在2011年推出的第三代酷睿处理器就开始使用22纳米(nm)FinFET工艺,随后全球各大半导体厂商积极跟进,陆续转进到FinFET工艺中。接下来的工艺节点五分八门,恨不得把10以内的数字都用上,英特尔的节点包括22纳米、14纳米、10纳米、7纳米,IBM或IBM联盟的22纳米、14纳米、10纳米、7纳米,台积电有16纳米、12纳米、10纳米、7纳米、6纳米、5纳米,三星14纳米、11纳米、10纳米、8纳米、7纳米、5纳米、4纳米,中芯国际(00981)14/12/N+1纳米,格罗方德的14纳米、12纳米、7纳米、联电的14纳米。

FinFET工艺七大玩家

全球目前有七家公司已经开始量产或即将量产FinFET工艺,按照转入的时间顺序,分别是英特尔(INTC.US)、台积电(TSM.US)、三星、格芯、联电、中芯国际、华虹集团。当然还有IBM,但是IBM已经将半导体部门出售给格芯了。我们来了解一下七大玩家的情况吧!

英特尔(Intel)

1968年7月18日,因为不满仙童半导体(Fairchild Semiconductor)的现状,罗伯特·诺伊斯(Robert Noyce)和戈登·摩尔(Gordon Moore)选择了离职,并创办诺伊斯-摩尔电子公司(NM Electronic),随后公司支付了15000美元从Intelco公司买下「Intel」名字的使用权,并更名为英特尔公司。50多年来,英特尔写下了无数辉煌。

从1971年,采用10微米(µm)制程工艺生产出全球首个微处理器4004,一直到2014年第三代酷睿处理器实现14纳米FinFET工艺量产,英特尔的半导体制程工艺发展之路可谓领业界风骚。作为一家IDM大厂,其主要是生产制造CPU,当然也顺带生产些高品质的FPGA和NAND存储芯片。

在工艺制程方面,英特尔一向以满足最严苛的摩尔定律而闻名,在大幅缩小晶体管体积的同时,还导入全新材料,希望在产品性能提升方面一举超前对手,结果反而在商业化制程推进中落后于台积电和三星。确实,英特尔在工艺研发过程中,一直以世界首创的方式改进制造技术,包括铜互连技术、High-K技术(2007年)、FinFET技术、应变硅技术,现在又在试验钴材料。

英特尔在美国(亚利桑那州、俄勒冈州、新墨西哥州)、爱尔兰、中国、以色列设有多座12英寸晶圆制造厂。除了新墨西哥州负责成熟工艺32纳米和45纳米生产,中国大连FAB68负责存储器芯片生产外,其他工厂都已经全数转进FinFET工艺。

目前,22纳米FinFET工艺在亚利桑那州的FAB32/FAB12、俄勒冈州D1C/D1D和以色列FAB28生产,14纳米FinFET工艺先后在俄勒冈州D1X(2014年)、亚利桑那州的FAB42(2015年由FAB32生产)、和爱尔兰的FAB24(2015年)进行生产;而最先进的10纳米FinFET工艺则交由俄勒冈州D1X、以色列FAB28负责制造,每个工艺节点都有两个以上的工厂通过验证,可以确保产品的顺利交货。

英特尔2011年自2011年开始量产第一代22纳米FinFET工艺,首个产品是代号Ivy Bridge的处理器;2014年量产第二代14纳米FinFET工艺,首个产品是代号Broadwell的处理器。

英特尔在2013年的工艺技术规划中,表示2016年将推出10纳米。可由于各种原因,10纳米的研发不用预期。于是14纳米工艺在2014年推出后被不断改进,2016年量产14+,2017年量产14++,以弥补10纳米延迟的缺憾。

其实在2013年,英特尔就设想通过提供2.7倍密度的自对准四轴图形(SAQP,self-aligned double patterning)、有源栅极上接触(COAG,Contact Over Active Gate)、金属钴(Co)互连以及第一代Foveros和第二代EMIB等新封装技术,计划在2016年推出10nm工艺取代14nm工艺。据悉,英特尔为了提高芯片性能,在10纳米工艺开始引入金属钴在MO和M1取代氮化钽(TaN)做侧壁层,导致工艺研发进展缓慢。

2017年英特尔宣布了公司第三代10纳米FinFET工艺,使用的超微缩技术(hyper scaling),充分运用了多图案成形设计(multi-patterning schemes),晶体管栅极间距由14纳米工艺的70纳米减少至10纳米工艺的54纳米,最小金属间距由52纳米缩小到36纳米,据称10纳米工艺芯片逻辑晶体管密度是14纳米工艺的2.7倍,达到每平方毫米超过1亿个晶体管,但一直到2019年5月,才正式公布代号Ice Lake的处理器。

2019年英特尔在投资者会议(Investor Meeting)上展示了技术创新路线(Relentless Innovation Continues),为10纳米规划了10+和10++;并表示2021年才会推出7纳米,也明确表示采用EUV方案。7nm工艺相比10nm工艺晶体管密度翻倍,每瓦性能提升20%,设计复杂度降低了4倍。

如此看来,英特尔的先进工艺在性能提升真的遇到瓶颈了。尽管很多人都认为英特尔的14纳米相当于台积电和三星的10纳米,英特尔的10纳米可能相当于台积电和三星的7纳米。

可此时,台积电和三星已经表示推进到了5纳米时代。

台积电(TSMC)

1987年2月21日,台积电正式成立,在张忠谋的带领下开创了全球纯属晶圆代工的新模式,专注为全球Fabless、IDM、和系统公司提供晶圆制造服务,台积电持续为客户提供最行老师的技术和TSMC COMPATIBLE® 设计服务。

在晶圆代工领域,无论是制程技术覆盖范围、先进制程领导力,还是营收水平等,台积电都是行业老大,目前的市占率已经接近60%。而在制程技术种类方面,在2018年,台积电就以261种制程技术,为481个客户生产了10436种芯片。

台积电自1987年透过转让台湾工业技术研究院的2微米和3.5微米技术创立公司,一直秉持「内部研发」战略,并在当年为飞利浦定制了3.0微米技术;1988年,刚刚一岁的台积电就自研了1.5微米工艺技术;1999年发布了世界上第一个0.18微米低功耗工艺技术;2003年推出了当时业界领先的0.13微米低介质铜导线逻辑制程技术;2004年全球首家采用浸没式光刻工艺生产90纳米芯片;2006年量产65纳米工艺技术;2008年量产40纳米工艺技术;2011年全球首家推出28纳米通用工艺技术;2014年全球首家量产20纳米工艺技术。

台积电在开始20纳米制程研发时,就瞄准布局FinFET,2012年完成16纳米制程的定义,迅速且顺利地完成测试芯片的产品设计定案,并在以FinFET架构为基础的静态随机存取存储器单位元(SRAM Bit Cell)上展现功能性良率;并在2014年开始风险生产16FF+工艺,2015年就顺利量产;2016年采用多重爆光的10纳米工艺也迅速进入量产,量产速度较之前的制程更快。

台积电的7纳米是10纳米的缩小版(shrink),后部金属工艺技术基本兼容,整体密度和性能改进不多。采用DUV加浸没式加多重曝光方案的7纳米于2017年4月开始风险生产,2018年第三季开始贡献营收,在2018年有40多个客户产品流片,2019年有100多个新产品流片。与10nm FinFET工艺相比,7nm FinFET具有1.6倍逻辑密度,约20%的速度提升和约40%的功耗降低。有两个工艺制程可选,一是针对AP(N7P),二是针对HPC(N7HP)。联发科天玑1000、苹果A13和高通骁龙865都是采用N7P工艺。

台积电第一个使用EUV方案的工艺是N7+。N7+于2018年8月进入风险生产阶段,2019年第三季开始量产,N7+的逻辑密度比N7提高15%至20%,同时降低功耗。

7纳米之后是6纳米(N6)。2019年4月份推出的6纳米是7纳米的(shrink),设计规则与N7完全兼容,使其全面的设计生态系统得以重复使用,且加速客户产品上市时间,但N6的逻辑密度比N7高出18%的。N6将在2020年第一季风险试产,第三季实现量产。

接下来是5纳米(N5)。5纳米于2019年3月进入风险生产阶段,预期2020年第二季拉高产能并进入量产。主力生产工厂是Fab 18。与7纳米制程相较,但5纳米从前到后都是全新的节点,逻辑密度是之前7纳米的1.8倍,SRAM密度是7纳米的1.35倍,可以带来15%的性能提升,以及30%的功耗降低。5纳米的另一个工艺是N5P,预计2020年第一季开始试产,2021年进入量产。与5nm制程相较在同一功耗下可再提升7%运算效能,或在同一运算效能下可再降低15%功耗。

至于3和2纳米,台积电表示已经在研发中,虽然制程细节2020年4月将见分晓。但3纳米和2纳米的工艺建设计划已经公布。3纳米产线将于2020年动工,在新竹宝山兴,建预计投资超过新台币6000亿元兴建3纳米宝山厂,最快2022年底量产。

三星代工(Samsung Foundry)

三星电子成立于1969年,1974年通过收购韩泰半导体(Hankook Semiconductor)50%的股份,成立半导体事业部,开始进军半导体产业;1979年收购全资拥有韩泰半导体,并更名三星半导体;1983年正式进军存储器行业,开发出韩国第首个64K DRAM;1988年半导体业务和电子及无线通讯业务合并成立三星电子;2005年开始晶圆代工业务;2017年5月12日,三星电子宣布调整公司业务部门,将晶圆代工业务部门从系统LSI业务部门中独立出来,成立三星电子晶圆代工。

三星进入晶圆代工领域,初始一直瞄准先进工艺,2006年首个客户签约65纳米;2009年45纳米工艺开始接单,同年11月在半导体研究所成立逻辑工艺开发团队,以强化晶圆代工业务;2010年1月首个推出32纳米HKMG工艺。

在推出32纳米工艺后,三星跳过28/22纳米,直接跨入到14纳米工艺,要和晶圆代工老大台积电展开面对面的肉搏。

2014年推出第一代14纳米FinFET工艺,称作14LPE(Low Power Early,低功耗早期),并于2015年成功量产;2016年1月推出第二代14纳米FinFET工艺,称作14LPP(Low Power Plus,低功耗增强),功耗降低15;2016年5月推出第三代14纳米FinFET工艺并量产,称作14LPC;2016年11月推出第四代14纳米FinFET工艺,称为14LPU(Low Power Ultimate,低功耗终极)。并在14纳米的基础上,推出微缩版11LPP。

2016年10月17日,第一代10纳米FinFET工艺量产,称为10LPE,新工艺性能可以提供27%,功耗将降低40%;2017年11月,开始批量生产第二代10纳米FinFET工艺,称为10LPP,性能提高10%,功耗降低15%;2018年6月,推出了第三代10纳米FinFET工艺,称为10LPU,性能再次得以提升,三星电子采用10纳米的三重图案光刻技术(LELELE)。

三星10纳米以下第一个节点本来是7纳米,但是由于7纳米量产受阻,转而在2018年11月率先推出8纳米,8纳米制程的8LPP是10LPP的升级终极版,相比10LPP提升10%效率,减小10%面积。

三星将在7纳米工艺及以下工艺全面使用EUV方案。7LPE已经在2019年4月已经完成验证,2020年2月20日,三星宣布首条EUV方案专用生产线V1投产,表示三星7LPP已经准备好。但是试产和量产是两个不同的过程,如何保证量率和技术迭代,对三星是个挑战,千万不要再犯当年14纳米的错。高通首款5G SoC单芯片骁龙765 / 765G就是采用7LPP工艺,不过7LPP好像较计划有所推迟。

三星的5纳米(5LPE)是7纳米(7LPP)工艺缩减(shrink)下来的,后部金属工艺技术基本兼容,尺寸变化不超过10%(等比例缩小是变化30%),密度提高1.3倍,功耗降低20%或将性能提高10%。2020年2月,高通发布的骁龙X60基带芯片就是采用5LPE工艺。

5纳米之后,就是4纳米。三星表示这是最后一次应用FinFET技术,延续5LPE工艺的成熟技术,方便客户升级,4纳米芯片面积更小,性能更高,可以快速达到高良率量产。同时,三星还计划在2020年推出6LPE和4LPE工艺。

4纳米之后就是3纳米。三星表示,3纳米将引入设计的晶体管(GAA-FET)。3纳米制程分3GAE、3GAP两个时代。首发3GAE是第一代GAA技术,根据官方说法,因是全新GAA晶体管结构,三星使用纳米设备制造出MBCFET(Multi-Bridge-Channel FET),可显著增加晶体管性能,以取代FinFET晶体管技术。此外,MBCFET技术还能兼容现有FinFET制程技术及设备,加速制程开发及生产。2019年三星曾表示,与7纳米制程相比,3纳米制程可将核心面积减少45%,功耗降低50%,整体性能提升35%,预计最快2021年量产。

格芯半导体(Global Foundries)

2018年8月27日(美国西部时间),格芯半导体宣布,为支持公司战略调整,将无限期搁置7纳米FinFET项目,并调整相应研发团队来支持强化的产品组合方案。由于战略转变,格芯半导体将削减5%的人员,其他技术人员将被部署到14/12纳米FinFET衍生产品和其他差异化产品的工作上。

格芯半导体是由原超微半导体(AMD)的制造业务部门在2009年3月分拆成立,2010年收购了新加坡特许半导体(Chartered),2015年7月完成收购IBM的半导体业务部门,可以说,格芯半导体继承了AMD、特许半导体(Chartered)和IBM的半导体基因,绝对是半导体界的豪门贵族。

格芯半导体的FinFET工艺原本师承IBM,2012年宣布推出自研的14XM(eXtreme Mobility)技术,进军移动通讯市场,计划在2014年量产;由于技术指标问题,格芯放弃14XM,2014年转而从三星获得14纳米授权,在2015年第一季度14纳米初级版14LPE成功通过了批量生产;三季度性能增强版本(14LPP)获得认证,2016年量产;2017年推出为IBM Z服务器用处理器芯片定制的14HP技术,这是业界唯一将三维FinFET晶体管架构结合在SOI衬底上的技术。

由于AMD转投台积电,导致格芯半导体宣布无限期搁置7纳米工艺研发,事实上,在收购IBM半导体部门,格芯获得了更多的半导体专利及优秀研发人才,充实了自己的研发实力,并成功研发7纳米工艺。根据GF公布的数据,7纳米工艺相比14纳米工艺可以在同样的功耗下提升40%以上的性能,或者同样的性能下减少60%的功耗,同时在核心成本上低了30%,现在出于IP、PDK和良品率的考量,格芯半导体搁置了量产研发。也许哪天,石油爸爸一高兴,就又可以上马7纳米FinFET。

目前看来,格芯半导体的FinFET战略非常明确,基于已验证的14纳米产品,2017年宣布了12纳米工艺(12LP),2018年已经由14LPP顺利过渡至12LP,燧原科技的邃思DTU芯片就是基于12LP工艺生产的,拥有141亿个晶体管;2019年推出12LP+。目前12LP/LP+工艺由位于纽约的最先进晶圆厂FAB8负责制造。

近期传出要给英特尔找格芯半导体寻求14纳米FinFET工艺资源,进行处理器芯片代工的消息。这将对格芯带来一丝好消息。

在此还要提一下,格芯的FDSOI工艺。目前在德国的工厂生产的22FDX和12FDX工艺。有设计公司表示,12FDX的性能(不指晶体管的密度)堪比台积电的N7。

联电(UMC)

联电的新任管理层在2017年7月表示,联电共同总经理王石表示,在先进制程战争中,联电的客户群缩小,但先进制程每个节点的演进,其产能投资成本愈来愈高,所以很容易发生当联电赶上最新制程时,这项新制程已过了价格最高的黄金时期,因此联电大胆将重点放在成熟制程上。也就意味着联电暂时放弃了对10纳米和7纳米等先进技术的研发,注重在成熟制程上的获利。

联电成立于1980年,为台湾第一家半导体公司,1995年转型晶圆代工,2000年产出业界首批铜制程芯片;2001年开始采用12英寸晶圆;2005年产出业界第一个65纳米制程芯片,2008年采用28纳米制程技术。

2012年联电加入了IBM技术联盟,派遣技术团队前住IBM晶圆厂,并同步台湾R&D晶圆厂,共同开发10纳米FinFET工艺,并借此强化公司内部14纳米FinFET工艺开发,2014年14纳米FinFET制程良率达到预期,并在2017年第三季正式量产,当年取得5000万美元的营收,2018年更是取得营收高达1.5亿美元的佳绩。

时至今日,联电也没有放弃14/12纳米FinFET的研发。王石也表示,投资技术研发相对便宜,联电在14/12纳米FinFET的研发还会继续,由于昂贵的是产能建置,14/12纳米FinFET纳米的产能建置相对就少,未来要不要扩建,一切以财务指标来说话。

中芯国际(SMIC)

中芯国际成立于2000年4月,2001年在上海投产首条8英寸厂生产线,2004年在北京投产首条12英寸生产线。目前在北京、上海、天津 、深圳运营多座先进的晶圆制造生产线。

中芯国际本着一步一个脚印,掌握核心技术,技术延伸一代、研发一代、成熟一代、产业化一代的宗旨,国际化企业的基因加上02重大专项的资金大力支持,中芯国际实现了集成电路技术上的追赶。2001年中芯国际0.25微米首次流片,2008年的110纳米量产,2006年90纳米量产,2010年65纳米量产,2012年55纳米量产,2013年40/45纳米量产。

2015年中芯国际成为中国大陆第一家提供28纳米Poly Sion制程服务的纯晶圆代工厂,2016年完成28纳米HKMG制程,并成功流片。

中芯国际从28nm直接转入14nm,2017年5月宣布14纳米研发获得突破;10月16日,梁孟松正式加盟中芯国际。梁孟松在上任后,加强了研发队伍的建设,同时调整更新了14纳米FinFET规划,将3D FinFET工艺锁定在高性能运算、低功耗芯片应用。

在研发进展顺利的同时,14纳米专用生产线的建设也在快速推进中。2016年10月13日,投资百亿美元(675亿元)、覆盖14纳米至10/7纳米工艺节点的中芯南方12英寸生产线正式开工;2018年1月,大基金为14纳米提供金援,入股中芯南方。

2018年第4季度,第一代14纳米FinFET技术进入客户验证阶段,同时12纳米的工艺开发也取得突破;2019年第1季度,12纳米工艺开发进入客户导入阶段,第二代FinFET研发进展顺利;2019年第2季度,第一代14纳米FinFET进入客户风险量产,第二代FinFET N+1技术平台已开始进入客户导入;2019年第3季度,第一代14纳米FinFET已成功量产;第二代FinFET N+1客户导入进展顺利。

华虹集团

华虹集团是国家「909」工程的载体,目前已发展成为以集成电路制造为主业、面向全球市场、具有较强自主创新能力和市场竞争力的高科技产业集团。华虹集团旗下拥有两大制造平台,一是华虹宏力,自建设中国大陆第一条8英寸集成电路生产线起步,目前在上海运营三条8英寸生产线(华虹一、二及三厂),在无锡运营一条月产能4万片的12英寸集成电路生产线,工艺技术覆盖1微米至55纳米各节点,其嵌入式非易失性存储器、功率器件、模拟及电源管理和逻辑及射频等差异化工艺平台在全球业界极具竞争力,并拥有多年成功量产汽车电子芯片的经验;二是上海华力,是国家「909」工程升级改造项目承担主体,目前在上海运营两条12英寸集成电路生产线,工艺技术从55纳米起步,最终将具备14纳米三维工艺的高性能芯片生产能力。

有关华虹集团的FinFET的情况不多。2020年1月,华虹集团表示,14纳米FinFET工艺研发获重大进展,工艺全线贯通,SRAM良率超过25%,2020年将快速推进,并表示更先进技术节点的先导工艺研发快速部署。

FinFET工艺产能建置隐忧

目前看来,FinFET的玩家多达七家,如果产能一旦建置完成,会否造成产能过剩。我们先来看看几个玩家的产能建置情况。

台积电最早生产FinFET始于2014年,目前FinFET工艺有5个基地,分别是位于台湾新竹的FAB12、台湾台南的FAB14和FAB18、台湾台中的FAB15和江苏南京的FAB16。各工艺节点的布局是,16/12纳米由FAB14和FAB16负责生产,合计月产能约15万片;7纳米由FAB15负责生产,合计月产能约15万片;5纳米主要在FAB18生产,目前FAB18 P1/P2的建置产能达10万片。当然FAB12是台积电的研发基地,各工艺节点的产能都可以协调。如此算来,台积电的FinFET月产能总计不下于40万片12英寸晶圆。

三星目前生产FinFET工艺始于2014年,最早是在位于美国奥斯汀的S2生产14纳米FinFET,目前S2负责14/11/10纳米生产;后来陆续将投入S1和S3工厂,位于器兴的S1负责14/11/10/8纳米工艺生产;位于华城的S3主要负责10/8纳米工艺生产;2020年2月,位于华城的EUV专用生产线V1投产,制造EUV方案7/6纳米产品。另外,在FAB17厂区,也建有部分FinFET产能。如此估算三星的FinFET月产能总计约为25万片12英寸晶圆。

格芯半导体的14/12纳米FinFET在美国纽约的FAB8生产,建置月产能约在2万至3万片之间。而联电目前生产FinFET工艺是在FAB12A厂,建置月产能约在1万至2万片之间。

中芯国际的FinFET是在位于上海的中芯南方工厂生产,目前有产能约在3000片,根据规划,2020年3月达到4000片,7月达到9,000片,到12月将达到15000片的规模。

目前英特尔虽然有4个工厂使用FinFET工艺,但都是为自家的处理器服务,对外的代工数量非常少,只有一些新初创的设计公司和英特尔进行合作。

如此算下来,到2020年底,不算英特尔在内的全球FinFET月产能超过70万片左右。

要知道这么多产能都是靠钱堆出来的呀!

FinFET工艺的巨额投资

FinFET工艺研发费用有多高?FinFET工艺的产能建置投资到底有多大?我们听听晶圆制造厂的声音。

FinFET工艺研发费用有多高?我们来看看台积电的近年研发费用。2012年的研发费用高达338亿新台币(约合11.2亿美元),此后研发费用一直快速增长,至2019年台积电的研发费用为914亿新台币(约合30亿美元),年均增幅12.5%;从2012年至2019年8年间研发费用合计为5970亿新台币(约195亿美元)。有人说,成熟工艺就不要研发吗?当然要研发,但只是很少的一部分,相信绝大部分是用在FinFET工艺研发。

FinFET工艺的产能建置投资有多大?看看各大公司的说法。

中芯国际联席CEO在2019年第四季度的业绩说明会上表示,FinFET的投资非常大,每1000片的投资大约在1.5亿(EUV方案)至2.5亿美元(非EUV方案)区间,难怪今年中芯南方工厂投资20亿美元,扩充产能只能至15000片。

2020年2月20日,三星宣布EUV专用线V1投产,预计到年底,V1生产线的累计总投资将达到60亿美元,规划月产50000片。

英特尔透露,使用金属钴的7纳米(非EUV方案)每1000片的投资大约在2.8亿美元。

台积电宣布建设3纳米工厂。预计投资6000亿新台币(约190亿美元),月产能未知。

研发加产能建置的费用绝对是天文数字,怎么赚回来呢?当然要客户买单。

FinFET工艺的客户

FinFET的客户在哪里?目前用得起FinFET工艺的客户屈指可数。

我们看看台积电的FinFET各节点工艺的全称就知道,不是HP(高性能)就是LP(低功耗),这也说明只有追求更高运算效能,还要求更低的运行功耗的特殊芯片,才采用FinFET工艺。

这样的客户有哪些呢?智能手机AP芯片公司华为海思、苹果、三星、高通、联发科;FPGA供应商赛灵思;MPU供应商AMD、英伟达;人工智能芯片供应商,比如燧原科技、寒武纪、百度、Esperanto;以及挖矿芯片供应商嘉楠耘智、比特大陆等,而且其中几乎都被台积电锁定,像海思、苹果、联发科、AMD、英伟达、寒武纪、嘉楠耘智、比特大陆;就是高通也是在台积电和三星两边一起来。

三星的客户主要是自家的智能手机AP芯片,外加高通的一部分,也不知道建置大产能干啥用?

中芯国际起码有国内的大市场,华为海思自不必说,还有一大堆人工智能芯片公司,再不济还有挖矿芯片公司来买单,相信只要中芯国际的FinFET工艺性能稳定,产能跟得上,制程能够一代更比一代强,就一定能稳住国内客户。(编辑:罗兰)

纳米 中芯国际

热门推荐

收起
新浪财经公众号
新浪财经公众号

24小时滚动播报最新的财经资讯和视频,更多粉丝福利扫描二维码关注(sinafinance)

7X24小时

  • 03-04 贝仕达克 300822 --
  • 03-03 聚杰微纤 300819 15.07
  • 03-03 东岳硅材 300821 --
  • 02-27 雪龙集团 603949 12.66
  • 02-26 瑞玛工业 002976 19.01
  • 股市直播

    • 图文直播间
    • 视频直播间